关于仿真

仿真波形中出现不定值x的原因:

  1. 在tb中未赋初始值,可能导致输出信号为x;

仿真波形中出现高阻态z的原因:
3. tb中未赋初始值,输入信号会为z;
4. 位宽不一致的问题;

误区一!!!!!:
综合后仿真:
业界将综合后的网表仿真分两种,第一种就是不加sdf文件的单独对netlist进行功能仿真。另一种是加sdf对网表进行功能和时序仿真。(不过后者也没什么必要,如果要跑的话就是跑理想无延时的仿真,什么延迟都没有的那种,因为此时没有修Hold,加了sdf也可能会报hold violation的,要么用零延迟仿真,要么跑Formality)

我之前理解的是,不加sdf文件应该是直接对.v文件仿,相当于前仿验证功能。(不然,其实.v里面也是有延迟的,直接指定的也有delay)

不加sdf的仿真叫做零延迟仿真!!!
参考(https://mp.weixin.qq.com/s/ncWfvloZ1tpYwjJp6xEX1w)
进行零延迟仿真需要加**+nospecify选项**来忽略标准单元库,IO库的固有延迟。
在这里插入图片描述
在这里插入图片描述

猜你喜欢

转载自blog.csdn.net/weixin_43755290/article/details/104699146