搭建属于自己的数字IC EDA环境(三):Centos7安装EDA(vcs2018、verdi2018等)IC工具以及脚本运行第一个工程

1.简述

经过上两个部分的准备,现在可以进行IC EDA工具的安装,配置EDA环境,还会有基本的仿真脚本,最后还会跑一个工程验证整个EDA环境是否正常。目前示范安装vcs和verdi,其他工具可以自行安装,后面也会补充一些其他IC工具和FPGA的安装。

2.准备

1)配置vmware Tools安装

共享文件需要安装vmware Tools才能正常使用,要安装必须进行这步设置。
在这里插入图片描述
选择自动更新Tools,这里不设置的话,启动虚拟机后,“重新安装Tools”这项是灰色的;
在这里插入图片描述

2)设置共享文件

为了方便文件的拷贝,需要设置共享文件,后面我们需要将安装包、工程等文件拷入虚拟机;
在这里插入图片描述
任意位置都可以,我的是放在D盘
在这里插入图片描述

3)安装VMware Tools

完成以上设置后启动虚拟机,这里需要注意: 在登陆界面就需要点击菜单栏中的 虚拟机 -> 重新安装VMware Tools,因为登录进去后这个选项很有可能变灰,我以前按照步骤1)进行是可以解决重新安装VMware Tools灰色的问题。
然后登录进入桌面,双击打开桌面的光盘图标,再双击打开压缩包,最后将文件拖到桌面完成解压。
在这里插入图片描述
打开terminal,进入到刚才解压的目录,使用 su 命令进入到超级用户,运行下面指令进行安装,安装过程中会提示让你确认,全部输入 y ,然后回车。

./vmware-install.pl

在这里插入图片描述
如果打印下图信息说明安装成功;
在这里插入图片描述

4)拷入文件

在window系统下向virtual share目录下拷入如下文件,文件依次为:vcs、verdi等IC安装包、ic的工程以及vim的插件。
在这里插入图片描述
进入虚拟机,打开terminal,先新建一个文件夹,名字最好不要是中文的,然后进入新建文件夹,从共享文件目录下将文件全部拷过来。具体命令如下,文件比较大会等一会儿:

mkdir 12
cd 12
cp -rf ../../../mnt/hgfs/virtual\ share/* ./

在这里插入图片描述

3.安装

1)安装installer

进入到synopsysinstaller_v5.0目录下,先运行.run文件,然后提示你输入安装路径,默认回车在当下目录就行;完成后直接运行生成的setup.sh文件。但是会出现如下error。

sudo ./SynopsysInstaller_v5.0.run
./setup.sh

在这里插入图片描述
这个问题应该是缺少依赖库,先查找这个库出自那个安装包,然后进行安装。安装过程中会有提示,输入“y”回车就行。

repoquery --nvr --whatprovides libXss.so.1
sudo yum install libXScrnSaver-1.2.2-6.1.el7

在这里插入图片描述
依赖库安装好后,再次运行 “./setup.sh”就会出现安装界面了。
在这里插入图片描述

2)安装IC软件

进入到home目录下,新建synopsys的文件夹,以后synopsys的软件均安装在这个目录下;home不是在普通用户下,需要超级用户权限,而软件安装必须在普通用户下所以需要将这个文件加权限打开;

sudo mkdir synopsys
sudo chmod 777 synopsys

在这里插入图片描述
然后回到install目录下,运行“./setup.sh”开始安装,点击start;
在这里插入图片描述
默认,点击next。
在这里插入图片描述
这里需要选择安装包的路径,我们先安装scl,选择好点击ok;
在这里插入图片描述
这里选择我们安装路径,选择我们上面新建的synopsys目录下。
在这里插入图片描述
全部选上,next。
在这里插入图片描述
安装确认,没有问题点击next;
在这里插入图片描述
点击接受并安装,
在这里插入图片描述
安装完成点击 Finish,然后点击Dismiss完成;
在这里插入图片描述
其他synopsys软件也是这样步骤,依次安装完就行!

4.license生成、环境以及激活

1)启动scl_keygen生成器

这个需要在window下进行,双击运行scl_keygen.exe.
在这里插入图片描述
需要填写这4项信息,HOST ID Daemon 和HOST ID Feature填写一样的,Port选择27000。
在这里插入图片描述
进入虚拟机,打开terminal使用以下命令查找

hostname
ifconfig

在这里插入图片描述
我的填写如下,然后点击Generate生成license
在这里插入图片描述
打开生成licens文件,修改第二行,这个需要与虚拟机里面scl路径一致,不然license无效。修改好后把这个文件复制到共享文件中。这个文件最后需要放到这个“scl/2018.06/admin/license/”目录下,要与环境里面设置的路径一致。
在这里插入图片描述

2)环境设置

打开.bashrc环境文件,加入以下内容,对应自己安装路径。但是需要注意倒数第二行,需要确认主机名是否正确。保存退出,source一下。

export DVE_HOME=/home/synopsys/vcs/O-2018.09-SP2
export VCS_HOME=/home/synopsys/vcs/O-2018.09-SP2
export VCS_MX_HOME=/home/synopsys/vcs-mx/O-2018.09-SP2
export LD_LIBRARY_PATH=/home/synopsys/verdi/Verdi_O-2018.09-SP2/share/PLI/VCS/LINUX64
export VERDI_HOME=/home/synopsys/verdi/Verdi_O-2018.09-SP2
export SCL_HOME=/home/synopsys/scl/2018.06


#dve
PATH=$PATH:$VCS_HOME/gui/dve/bin
alias dve="dve"

#VCS
PATH=$PATH:$VCS_HOME/bin
alias vcs="vcs"

#VERDI
PATH=$PATH:$VERDI_HOME/bin
alias verdi="verdi"

#scl
PATH=$PATH:$SCL_HOME/linux64/bin
export VCS_ARCH_OVERRIDE=linux

#LICENCE
export LM_LICENSE_FILE=[email protected]
alias lmg_synopsys="lmgrd -c /home/synopsys/scl/2018.06/admin/license/Synopsys.dat"

3)激活

先使用以下命令设置开放端口:

firewall-cmd --zone=public --add-port=27000/tcp --permanent
firewall-cmd --reload

然后在输入“lmg_synopsys”进行激活,这个每次启动虚拟机都需要这样操作一下。但是这里提示缺少依赖库。输入以下命令进行安装:

lmg_synopsys
yum install redhat-lsb.i686

在这里插入图片描述
激活好后输入“verdi”打开verdi工具,查看是否激活成功,但是这儿有是有错误,使用下面命令进行安装,成功后再输入“verdi”,能够打开verdi,激活成功

sudo yum install libpng12 -y

在这里插入图片描述
在这里插入图片描述

4.测试工程

使用以下命令将12文件下的ic_pro.tar解压在home目录下,然后进入到工程的仿真目录下,用gvim打开make脚本,这个就是仿真的脚本。

tar -xf ./12/ic_pro.tar
cd ic_pro/heart/sim/

在这里插入图片描述

输入以下命令进行仿真,

make vcs
make verdi

在这里插入图片描述
如果有错误提示:gcc: Command not found。需要安装一下gcc,但是也会有其他问题,一般可能是环境和license有问题,需要仔细去核对一下。使用以下命令安装gcc:

yum -y update gcc
yum -y install gcc+ gcc-c++

仿真的效果如下,使用verdi查看波形,这个工程可以看我这篇文章:vcs+verdi版本 七夕小心心.
在这里插入图片描述

5.总结

现在完成IC EDA的安装,这个流程比在windows下复杂很多,需要慢慢做,不要急功近利。在安装过程中遇到问题,都可以通过微信公众号私聊我,当然有错误的也欢迎指正。
这个IC EDA虚拟机可以关注我的微信公众号后台回复“EDA虚拟机”;整个安装文件,包括vmware安装包、Centos镜像、synopsys安装包、工程等文件后台回复“EDA安装包”。

欢迎关注我的公众号:芯王国,有更多的FPGA&数字IC的技术分享,还可以获取开源FPGA项目!

在这里插入图片描述

猜你喜欢

转载自blog.csdn.net/weixin_40377195/article/details/109788338