利用FDATool设计FIR滤波器并生成COE文件可导入VIVADO的IP核FIRCompiler

利用FDATool设计滤波器
例:设计一个采样率为61.44MHz的低通滤波器,其通带带宽为10MHz,阻带带宽为12.5NHz。通带内波纹抖动为1dB,阻带下降80dB,并将其系数量化成16bit后保存到COE文件中。
1、在MATLAB命令窗口中输入fdatool,选择response type为lowpass,designmethod选为FIR–equiripple;将frequency specifications中的units选为MHz,Fs设为61.44,Fpass设为10,Fstop设为12.5;将magnitude specifications中的Apass设为1,Astop设为80;filter order栏选择minimum order,最后点击design filter完成。得到滤波器阶数为62,对应63个抽头系数。
2、提取系数,选择FDATool工具file菜单中的export,弹出对话框保持默认(workspace coefficients num 不勾选),点击export,将系数存放到MATLAB的变量空间中。操作完成可以看到1*63的num变量,需要将其定点量化。在MATLAB中输入下列语句即可将系数满量程量化,并另存为变量coeff。

coeff = round(num/max(abs(num))*32767);

3、保存系数到硬盘文件中,继续在MATLAB命令行输入下列语句将定点量化的系数写入到C盘根目录下,并命名为fircoe.txt。

fid = fopen ('c:/fircoe.txt','wt');
fprintf(fid,'%16.0f\n',coeff);  %%在写文件的时候量化成16bit
fclose(fid)
////返回值为0,则表明操作成功,并可在C盘根目录下查看文件fircoe.txt

4、生成coe文件。和生成块ROM配置文件的方式类似,在C盘根目录下,将fircoe.txt文件的后缀改成.coe。打开文件,把每一行之间的空格用文本的替换功能换成逗号“.”,并在最后一行添加一个分号“;”。但内部coe文件格式和块ROM的不同,在文件的最开始添加下面两行:

radix = 10;
coefdata = 

然后保存文件退出就生成了系数COE文件。
5、验证coe文件,FDATool提供了加载XilinxCOE系数文件的功能,可从幅频响应曲线等验证COE文件内部是否正确,单击file菜单下的import filter from xilinx coefficient(.coe)file命令,在弹出的对话框中选择C盘根目录下的fircoe.coe文件,可看到幅频特性。
6、调用IP核fir compiler,导入fircoe.coe文件(文件正确为黑色,不正确为红色),在coefficients file选择文件,可以看到幅频特性,进行其他参数的配置,最后可例化使用。

猜你喜欢

转载自blog.csdn.net/tusiji5286/article/details/107760505