使用UltraEdit25.20.0.88进行Verilog语言编辑配置方式(详细)

UltraEdit版本为25.20.0.88,其他版本也适用。

1:下载Verilog的语法高亮文件。
即可支持相应的语言编辑,关键字将用不同色彩标出。
可以到官方网站去下载,包括上百种语法文件,我想应该都能满足大家的需要吧!
https://www.ultraedit.com/downloads/extras/wordfiles.html
也可以从下方链接中下载,已被设置过,可跳过2,3,4步骤:https://download.csdn.net/download/qq_33231534/12243453

2:使用UltraEdit打开下载的文件,将第一行的L20改为L14,因为UltraEdit只支持20种语法。

3:加入折叠功能
由于Verilog HDL的块一般是以begin和end作为开始和结束的标记,其作用相当于C语言的
大括号。在verilog2001.uew中添加进如下代码即可:

/Open Fold Strings = "begin""case"
/Close Fold Strings = "end""endcase"


放置在  /C1"Keywords" 上方即可。
这里除了begin和end可以进行折叠外,另外一对case和endcase同样可以完成折叠,同样的
方法可以添加你需要的折叠标志。

4:加入自动缩进功能
同样在wordfile.txt中,有控制自动缩进的语法。所谓自动缩进就是指,输入begin回车,下一行自动加一个tab;输入end,下一行自动退回一个tab。用户可以自己添加不同的缩进词实现自动缩进。如:

/Indent Strings = "begin""case""fork" "if" "else"
/Unindent Strings = "end""endcase""join" "else"


放置在  /C1"Keywords" 上方即可。

5: 用UltraEdit打开文件,第一行  = (* Block Comment Off Alt = *)   文本删除,否则写Verilog语法的组合逻辑always@(*)后边内容将会被注释。

6:  还可以加入其它一些自定义功能,如函数调用功能,大家可以自己摸索。

7:  将下载的文件verilog2001.uew放置在软件目录下wordfiles下,重启软件打开就OK了。
wordfiles目录查找方法:
     (1)打开UltraEdit->高级->设置


         (2)右侧导航栏->编辑器显示->语法高亮->文档的完整目录名称

猜你喜欢

转载自blog.csdn.net/qq_33231534/article/details/104813325