Nexys4DDR的DDR2读写操作例程详解

本文在vivado下进行,已经上板验证,使用verilog语言。

//*****************************************************************************
// Author            : qq778132974
// Application        : MIG v2.4
// Filename            : example_top.v
// Date Created        : 2021.01.04
//
// Device            : 7 Series (Nexys 4 DDR)
// Design Name        : DDR2 SDRAM
// Purpose            : A demo of DDR2's read and write
//*****************************************************************************

`timescale 1ps/1ps

module example_top (
    // system signals
    input                    sys_rst,
    input                    sys_clk_i,
    // application signals
    input  [15:0]            switch_i,
    output [15:0]            led,
    output [7:0]            an,
    output [7:0]            select_seg,
    // DDR2 chip signals
    inout [15:0]        

猜你喜欢

转载自blog.csdn.net/QQ_778132974/article/details/112171579