VCS ralgen

Generating UVM Register Abstraction Layer Code

VCS ships a utility called ralgen. Given a description of the available registers and memories in a design, ralgen automatically generates the UVM RAL abstraction model for these registers and memories. The description of these registers and memories can be in RALF format or in the IPXACT schema.
To generate a register model from a RALF file, use the following command:

% ralgen [options] -t -uvm <filename.ralf>
Here, filename.ralf is the name of the RALF input file and topname is the top block or system name in the RALF file.
To generate a register model from an IPXACT file, you use a twostep flow. The first step is to generate RALF from IPXACT as follows:
% ralgen -ipxact2ralf <input_file>

生成RAL model命令: ralgen [options] -t topname -I dir -uvm {filename.ralf}。ralgen是vcs工具的命令,输入对象是*.ralf文件,生成*.sv文件,即RAL mode就是橘红色框图。ralf文件可以通过excel表格生成。

在这里插入图片描述

参考文章
1.https://max.book118.com/html/2018/0204/151852713.shtm 
2. uvm_reg_model——寄存器模型(一) - dpc525 - 博客园 (cnblogs.com)
3. UVM——RAL模型运用之四(使用ralgen生成regmodel方法、部分枚举变量"_e"含义) - 灰信网(软件开发博客聚合) (freesion.com)

猜你喜欢

转载自blog.csdn.net/weixin_46259642/article/details/113187383
VCS