Vivado下IBERT使用指南

第一部分 生成IBERT IP及运行工程生成配置文件

1. 选择IP,选择FPGA版本,protocol数量 (所有通道用一个速率的话一般只选择1个 protocol),速率,参考时钟频率,通道数量和Quad PLL(大于6G的速率时必须选择)

2. 选择需要的Quad 通道114和115,及参考时钟源,这里选择合用QUAD114的参考时钟

3.时钟源选择QUAD_114_CLK0做为整个IP的系统时钟,当然这个需要根据硬件实际情况来选择。

4.生成IP之后在IP的顶层右键点击Open IP Example Design,然后会打开一个新的VIVADO界面。

第二部分 上板利用IBERT验证GTX管脚

5.如果需要在ISE的ChipScope中查看IBERT时,直接点击ISE的ChipScope的Analyzer,然后点击链接->配置FPGA。如下图所示

6.如果是要在Vivado中查看Ibert,则需要打开Hard ware Session,如下图所示

7. 点击Open a new hardware target

8. Open a new hardware target界面点击Next

9. 不用更改,点击next

10.选择目标FPGA芯片点击next

11.无需更改,点击next

12. 选择配置文件

13.选择配置的FPGA,点击右上角create links

14.点击+号将所有通路添加进去

15. 点击Next

16. IBERT 界面

17.可将光标放在BERT栏上右键,就可以弹出菜单,根据需要添加或减去功能

18.将TX Pattern 和RX Pattern选为31 bit与IP中设置相符

19. 点击AUTO REFRSSH可以看到各个通道的速率变化

20. 选择一路通道,右键选择create scan可以创建眼图。

21.眼图

猜你喜欢

转载自blog.csdn.net/qq_22279697/article/details/80763018