FX3U-4DA模拟量输出模块

目录

一、作用

二、接线方式

三、输出模拟量数据步骤

1、确认单元号:

2、决定输出模式的内容(BFM #0):

3、编写模拟量输出程序:

四、案例


一、作用

1、可指定输出电压/电流。

2、可将BFM中保存的数值转换成模拟量。

3、用于变频器(电磁阀、流量计等)进行平滑调速。

4、从PLC右边记起的第1个特殊模块编号为0,不论中间隔有多少个IO扩展模块。

5、FX3U系列PLC最多扩展8台特殊模块。

二、接线方式

1、模块接地与供电系统接地线区分开。

2、输出线使用2芯屏蔽双绞线。

3、电流输出时,将I+连接到输入+,将VI-连接到输入-;电压输出时,将V+连接到输入+,将VI-连接到输入-。

4、输出电压有波动时,或者外部接线上有噪音时,可连接0.1~0.47μF 25V的电容。

5、与4AD模拟量输入不同的是,模拟量输出没有电压/电流短接的接线方式。

三、输出模拟量数据步骤

1、确认单元号:

        从最接近基本单元FX3U的特殊功能单元/模块开始计算,依次分配单元号0~7。

2、决定输出模式的内容(BFM #0):

        设定与之相符的各通道的输出模式(BFM#0)。用16进制数设定输出模式。在使用通道的相应位中,选择下表的输出模式,进行设定。

3、编写模拟量输出程序:

        编写输出模拟量数据的梯形图程序。

四、案例

        利用FX3U-4DA(1号单元)的通道1输出0-10V电压信号控制变频器对应输出0~50HZ。

1、已知单元号是1号,同时从精度高的角度进行选择0模式。

2、确定模拟量与数字量关系。

3、程序编程。

解析:为了使模拟量更加顺滑,精度为小数点后一位,所以要对计算后的数值进行转换。 

猜你喜欢

转载自blog.csdn.net/BUG7073/article/details/134243773
今日推荐