verilog基本类型

先介绍四个基本的数据类型:reg型,wire型,integer型,parameter型。
常量:

  • 二进制:b
  • 十进制:d
  • 16进制 h
  • 8进制:o
  • 不定:x
  • 高阻:z
    正数:4b’10x0 表示4位二进制数,分别是10x0,其中第三位是不定
    复数: -8d’5 这个数代表5的补数
    parameter型:parameter mst=7;
    变量
    reg类型:寄存器数是数据存储单元的抽象
    memory型:(内部用触发器实现)
    通过对reg型变量建立数组来对存储器建模,可以描述RAM型存储器,ROM存储器和reg文件。数组中的每个单元通过一个数组索引进行寻址。
    verilog无多维数组。memory型数据是通过扩展reg型数据的地址范围来生成的。如 reg[n-1:0]存储器名[n-1:0];
    如reg[7:0]mema[255:0];定义了一个名为mema的存储器,该存储器有256个8位存储器。该存储器的地址范围是0到255.
    rega=0;//合法
    mema=0;//非法幅值,mema[3]=0;
    拼接运算符{}
    芯片cache占用的是内部ram,芯片内部ram位达到高性能使用触发器实现。

猜你喜欢

转载自blog.csdn.net/su1041168096/article/details/81486972