全加器(结构化描述)

//一位半加器的描述
module halfadder(input A,B,
                 output S,C
                 );
xor(S,A,B);
and(C,A,B);
endmodule

//一位全加器结构化的描述
module cy4(input A,B,Ci,
                 output Sum,Co
                 );
wire S1,D1,D2;
halfadder HA1(.B(B),.S(S1),.C(D1),.A(A));
halfadder HA2(.A(S1),.B(Ci),.S(Sum),.C(D2));
or g1(Co,D2,D1);
endmodule

这里写图片描述

猜你喜欢

转载自blog.csdn.net/qq_41982581/article/details/82666559