第五届蓝桥杯决赛B组C/C++——Log大侠

第五届蓝桥杯决赛B组C/C++——Log大侠

标题:Log大侠

atm参加了速算训练班,经过刻苦修炼,对以2为底的对数算得飞快,人称Log大侠。

一天,Log大侠的好友 drd 有一些整数序列需要变换,Log大侠正好施展法力…
变换的规则是: 对其某个子序列的每个整数变为: [log_2 (x) + 1] 其中 [] 表示向下取整,就是对每个数字求以2为底的对数,然后取下整。
例如对序列 3 4 2 操作一次后,这个序列会变成 2 3 2。
drd需要知道,每次这样操作后,序列的和是多少。

【输入格式】
第一行两个正整数 n m 。
第二行 n 个数,表示整数序列,都是正数。
接下来 m 行,每行两个数 L R 表示 atm 这次操作的是区间 [L, R],数列序号从1开始。

【输出格式】
输出 m 行,依次表示 atm 每做完一个操作后,整个序列的和。

例如,输入:
3 3
5 6 4
1 2
2 3
1 3

程序应该输出:
10
8
6

【数据范围】
对于 30% 的数据, n, m <= 10^3
对于 100% 的数据, n, m <= 10^5

资源约定:
峰值内存消耗 < 256M
CPU消耗 < 1000ms

请严格按要求输出,不要画蛇添足地打印类似:“请您输入…” 的多余内容。

所有代码放在同一个源文件中,调试通过后,拷贝提交该源码。

扫描二维码关注公众号,回复: 5847162 查看本文章

注意: main函数需要返回0
注意: 只使用ANSI C/ANSI C++ 标准,不要调用依赖于编译环境或操作系统的特殊函数。
注意: 所有依赖的函数必须明确地在源文件中 #include , 不能通过工程设置而省略常用头文件。

提交时,注意选择所期望的编译器类型。

解题思路:

首先 我们得明确,这些数每次取对数,他会很快的降到1 或者 2 然后就不变了。
为什么不变了呢? 如果是 1 的话 log2(1)+1还是等于1 如果是2 的话 log(2)+1还是等于2
所以我们可以根据这一点来做剪枝优化线段树
更新的时候如果碰到全1或2的区间 直接跳过不用更新了 因为他们更不更的还是那样。
用个flag数组标记 是否全1或2
然后建树 区间更新 就可以了。

代码

#include <iostream>
#include <cstdio>
#include <algorithm>
#include <cmath>
#define ll long long
using namespace std;
const int maxn=1e5+10;
ll sum[4*maxn];
bool flag[maxn*4];
int n,q;
void pushup(int cur){
	sum[cur]=sum[cur*2]+sum[cur*2+1];
	flag[cur]=flag[cur*2]&flag[cur*2+1];//得两个区间都满足只有0和1 这个区间才可以满足
}
void build(int l,int r,int cur)
{
    int m;
    if(l==r){
        scanf("%lld",&sum[cur]);
        if(sum[cur]==1||sum[cur]==2) flag[cur]=1;
        return;
    }
    m=(l+r)/2;
    build(l,m,2*cur);
    build(m+1,r,2*cur+1);
    pushup(cur);
}

void update(int pl,int pr,int l,int r,int cur)//区间更新
{

	if(flag[cur]) return;//剪枝 把只有1或者2的就不用更新了
	if(l==r){
		sum[cur]=log2(sum[cur])+1;
		if(sum[cur]==1||sum[cur]==2) flag[cur]=1;
		return ;
	}
	int m=(l+r)/2;
	if(pl<=m) update(pl,pr,l,m,cur*2);
	if(pr>m) update(pl,pr,m+1,r,cur*2+1);
	pushup(cur);
}

int main()
{
	cin>>n>>q;
	build(1,n,1);
	while(q--){
		int l,r;
		scanf("%d%d",&l,&r);
		update(l,r,1,n,1);
		printf("%lld\n",sum[1]);
	}

	return 0;

}
/*
3 3
5 6 4
1 2
2 3
1 3

*/

猜你喜欢

转载自blog.csdn.net/weixin_43179892/article/details/89207763