防止部分Verilog模块被综合,编辑器的指示语句

//synopsys translate_off

要忽略的部分Verilog模块语句

//synopsys translate_on

猜你喜欢

转载自www.cnblogs.com/summerpine/p/11460258.html