Vivado关联Modelsim进行联合仿真

  Vivado自带仿真工具,但是有点慢,关联Modelsim联合仿真是最好的,注意Modelsim必须是10.7以上版本。

1、安装并成功破解Modelsim 10.7。

2、打开Vivado,点击 Tools --- Compile Simulation Libraries...,填写如下设置并点击Compile。

3、编译好后,Tcl界面生成编译信息,如果是 0 error 即表示编译库成功。

4、点击 Tools --- Settings --- Simulation,填写如下设置。

5、点击 Tools --- Settings --- 3rd Party Simulators,填写如下设置。

6、打开一个编写好的带仿真的Vivado文件,点击左侧 SIMULATION --- Run Simulation 便正常打开Modelsim进行仿真了。

扫描二维码关注公众号,回复: 8246178 查看本文章

猜你喜欢

转载自www.cnblogs.com/xianyufpga/p/12070018.html
今日推荐