.sv 与.svh之间的区别

.sv 文件与.svh文件没有本质区别。通常,需要被include 到package的文件定义为.svh类型, 其他的文件定义为.sv类型。
.svh后缀的文件即systemverilog include文件。
Class templates that are declared within the scope of a package should be separated out into individual files with a .svh extension. These files should be included in the package in the order in which they need to be compiled. The package file is the only place whereincludes should be used, there should be no further `include statements inside the included files. Justification: Having the classes declared in separate files makes them easier to maintain, and it also makes it clearer what the package content is.

猜你喜欢

转载自www.cnblogs.com/yanli0302/p/12162551.html
svh