FPGA学习方向规划

前言

仅以本帖,记录自己的学习历程及未来的方向。

刚入职做FPGA研发1年,也不知道自己的发展方向。

所以,从FPGA接口通信入手,慢慢学习和了解吧。

希望自己逐步积累和掌握以下几个方向从业的基础知识,并具有一定的研发能力。

主要方向分以下个方向:

(1)接口通信类:

         简单通信接口SPI/UART/IIC,

        复杂一点的SDR SDRAM控制器设计、DDR3MIG的使用、PCIE控制器、Aurora、千兆以太网通信;

(2)数字信号处理类:FPGA数字信号处理

(3)数字图像处理类:FPGA数字图像处理

(4)通信编码类       :

(5)加密解密算法硬件实现:

学习,从大牛的博客开始!

辅助阅读相关专业书籍!

FPGA数字信号处理

推荐博客
作者 博客
1、 FPGADesigner的博客 https://blog.csdn.net/FPGADesigner
2、李锐博恩(Reborn) https://blog.csdn.net/Reborn_Lee
   
推荐书籍
《数字信号处理的FPGA实现》第四版

《数字信号处理――原理、算法与应用(第四版) 

[Digital Signal Processing,Fourth Edition]

《数字滤波器的MATLAB与FPGA实现》  

FPGA数字图像处理

推荐博客
作者 博客
1、angelbosj  https://blog.csdn.net/angelbosj?t=1
2、LakersNation  https://blog.csdn.net/lzy272942518?t=1
3、Pieces_thinking的博客 https://blog.csdn.net/pieces_thinking?t=1
4、灰巧克力爱松露    https://blog.csdn.net/shadow_guo
5、fzhykx    https://blog.csdn.net/fzhykx?t=1
6、libing64  https://blog.csdn.net/renshengrumenglibing
7、brank_z    https://blog.csdn.net/a52228254?t=1
8、被选召的孩子  https://blog.csdn.net/archar_saber/article/list/1?t=1&
   
推荐书籍
《数字信号处理的FPGA实现》第四版 《基于FPGA的数字图像处理原理及应用》
《数字图像处理(第三版)冈萨雷斯》  

FPGA相关书籍

不读书,何以进步?!

在FPGA领域相关专业的书籍并不是很多,下面仅是个人整理的一些可以参考阅读的书籍。

下面列举的书籍,大多是工具书,基本就入个门。

若想在一个领域深耕,则需要学习和了解该领域的专业和系统的知识,比如关于加密解密算法,深入学习的话需要掌握信息论、信息论与编码等更加专业知识。

下面的书籍大概分两大类:基础理论指导类 和 工具书。

基础理论指导类:

FPGA新人入门阶段,可以先看看下面三本书,作为FPGA开发中的理论指导。

《Altera FPGA/CPLD设计(基础篇)(第2版)》

《Altera FPGA/CPLD设计(高级篇)(第2版)》

《FPGA快速系统原型设计权威指南》ps:这本书值得看看!

可以参考“《FPGA快速系统原型设计权威指南》读书小结”

工具书类:

工具书,就是你用到的时候,打开看看。

当然,感兴趣的也可以自己业余研究研究,大概了解了解FPGA在不同领域的应用情况。

《FPGA原理和结构》天野英晴 《FPGA实战训练精粹》
《Xilinx FPGA 高速串行传输技术与应用》 《嵌入式高速串行总线技术 基于FPGA实现与应用》
《PCI Express 系统结构标准教材》  
《无线通信FPGA设计》 《典型密码算法FPGA实现》
《数字信号处理的FPGA实现》第四版 《基于FPGA的数字图像处理原理及应用》
《数字图像处理(第三版)冈萨雷斯》 《数字滤波器的MATLAB与FPGA实现》

《数字信号处理――原理、算法与应用(第四版)

 [Digital Signal Processing,Fourth Edition]

 
   
《Xilinx FPGA 权威设计指南 基于vivado2018集成开发环境》 《Xilinx FPGA 数字信号处理设计指南 从HDL、simulink到HLS的实现》
《Xilinx ZYNQ-7000嵌入式系统设计与实现》 《Xilinx FPGA开发使用教程》第二版
   
《数字设计和计算机体系结构》第二版 《兼容ARM9的软核处理器设计-基于FPGA》
《TCL/TK入门经典》 《UVM实战》
《The UVM Primer》 《Writing Testbenches using SystemVerilog》
《System Verilog1800-2012语法手册》  
几个常用软件工具
文件比较器 : Beyond compare 文件查找器: everything
   
   

综合类:

作者 博客
1、桔色小西瓜  https://blog.csdn.net/sinat_25326461
2、Snail_Walker https://blog.csdn.net/c602273091?t=1
3、ALIFPGA  https://blog.csdn.net/woshifennu1234?t=1
4、善良的一休君  https://blog.csdn.net/qq_39210023?t=1
5、文鸿开源工作室  https://blog.csdn.net/qq_38376586/article/list/1?
6、 开源骚客  http://dengkanwen.com/
7、OpenFPGA  https://blog.csdn.net/Pieces_thinking/article/details/84930527
8、yf869778412   https://www.cnblogs.com/chengqi521/p/8242183.html
9、长弓的坚持 https://blog.csdn.net/wordwarwordwar
10、肉娃娃 https://www.cnblogs.com/rouwawa/p/9838512.html
11、深海里的杂货铺  https://blog.csdn.net/ncut_nwpu?t=1
   

软件工具:

(1)熟练掌握Microsoft Visual Studio的调试流程,熟练掌握C++语言。

         没有学会的,要开始学习。

(2)熟练掌握MATLAB的使用方法,熟悉其编程环境;熟练掌握C语言。

          曾经学会的,不能忘记。

(3)熟练掌握Python、TCL软件工具。

(4)熟悉QT开发环境。

几个FPGA开源网站

内容不多介绍,自己随便点开看看吧。

建议,空闲时多浏览浏览一些FPGA相关的最新资讯。

1

OPENCORES.ORG

http//www.opencores.org/browse.cgi/by_category

http://www.opencores.org - 中文

2

FPGAs are fun

http://www.fpga4fun.com/ - 外文

3 http://www.latticesemi.com.cn/ - 中文
4 http://www.epanorama.net/links/fpga.html - 外文
5

EDA Industry Working Groups

http://www.vhdl.org/ - 外文

6

Andraka Consulting Group Home

http://www.andraka.com/

7

http://www.fpga-faq.org/ - 外文

8

https://www.design-reuse.com/

9

http://www.fpgaworld.com/ - 外文

10

http://www.edacafe.com/

11

https://www.fpgajournal.com/

12

http://www.enseirb.fr/~kadionik/embedded/uclinux/nios-uclinux.html - 外文

13

https://www.accellera.org/activities/

14 电子创新网赛灵思社区
15 电子工程世界FPGA论坛
16 我爱方案网
17 开源硬件社区托管项目
18 EETOP
19 联合开发网
20 几个简单的Verilog Examples

最后有一些帖子不错,可以看看。

做FPGA的出路在哪里?——同行的感受,我的方向

一个死磕FPGA 9年的大龄工程师的肺腑之言

>>点击这里返回导航页<<

发布了80 篇原创文章 · 获赞 77 · 访问量 3万+

猜你喜欢

转载自blog.csdn.net/qq_22168673/article/details/90643220
今日推荐