AHB 协议学习之Address decoding

地址解码器(address decoder)为总线上的每个slave提供选择信号HSELx。

在这里插入图片描述

选择信号是地址信号的组合逻辑译码。 避免复杂的译码逻辑可以确保high-speed操作。

当HREADY为HIGH时(表示当前传输完成),slave对HSELx,地址和控制信号进行采样。 在某些情况下当HREADY为低电平时,HSELx也可能会被置位,但所选的slave在当前传输完成时会发生更改。

分配给单个slave的最小地址空间为1KB。masters的设计使得它们的传输不会超过1KB地址边界。

在这里插入图片描述

下图显示了地址解码器slave选择信号HSELx。

在这里插入图片描述

Default slave

如果系统设计包含非完全填充的memory map,那么必须实现一个额外的默认slave,以便在任何不存在的地址位置被访问时提供响应。

如果尝试对不存在的地址位置进行NONSEQUENTIAL或SEQUENTIAL传输,默认slave会提供ERROR响应。

如果尝试对不存在的地址位置进行IDLE或BUSY传输,,默认slave会产生零等待状态OKAY响应。
在这里插入图片描述

Bus interconnection

AHB-Lite协议中master驱动地址和控制信号到所有slave,用decoder选择合适的slave。来自所选slave的任何响应数据,通过多路复用器传递给master。

下图显示了AHB-Lite中的多路复用器互连结构,设计有三个slave。

在这里插入图片描述

发布了32 篇原创文章 · 获赞 2 · 访问量 1511

猜你喜欢

转载自blog.csdn.net/qq_36248682/article/details/105350346