(10)ISE约束文件UCF与Vivado 约束文件XDC(FPGA不积跬步101)

名言:内心驱动自己做正确的事情,心流控制你的行为。

1 引言

笔者最近做一个项目使用FPGA芯片为Xilinx spartan6,开发软件是ISE14.7。之前开发使用的芯片为Xilinx 7系列FPGA,有A7、K7、V7、Z7、K7U+等,开发软件使用vivado。现在使用ISE14.7开发还是有点不习惯,这里主要说明一下ISE约束文件UCF和vivado约束XDC区别。

2 约束文件xdc用法

这里只说明物理IO约束和时钟约束,vivado约束文件为top.xdc,使用方法如下:
物理IO约束如下:(包括引脚约束和电平约束)
set_property PACKAGE_PIN G20 [get_ports {sysclk}]
set_property IOSTANDARD LVCMOS33 [get_ports {sysclk}]
set_property PACKAGE_PIN J19  [get_ports {led}]
set_property IOSTANDARD LVCMOS33 [get_ports {led}]
时钟约束如下:(#20ns周期,50%占空比,无相移)
create_clock -period 20 [get_ports sysclk]  

3 约束文件ucf用法

这里只说明物理IO约束和时钟约束,vivado约束文件为top.xdc,使用方法如下:
物理IO约束如下:(包括引脚约束和电平约束)
#system_clk 50MHz
NET "system_clk" LOC = "B9";
NET "system_clk" IOSTANDARD = LVCMOS33;
#LED o_led[3:0]
NET "o_led" LOC = "V5";

猜你喜欢

转载自blog.csdn.net/m0_46498597/article/details/106671906