VHDL简单微处理器的设计

主要内容:

本课程设计要求采用EDA技术设计简单微处理器。系统设计要求采用自顶向下的设计方法。它要求完成数的输入,数的比较,数的交换和结果输出四部分。系统实现采用硬件描述语言VHDL把系统电路按模块化方式进行设计,然后进行编程、时序仿真并分析。

本课程设计包括实验报告和代码
下面是截图:
在这里插入图片描述
在这里插入图片描述

猜你喜欢

转载自blog.csdn.net/QQ_778132974/article/details/117078229
今日推荐