【三帧差,边缘检测,FPGA】基于FPGA的三帧差+边缘检测的Verilog实现

1.软件版本

ISE14.7,modelsim10.1c

2.本算法理论知识

       由于在FPGA中,直接做视频的模拟比较麻烦,而且你要求仿真来实现,所以这里我们仅是使用三帧图像,并将这三个图像事先保存到存储器中,然后进行滤波,边缘检测以及三帧差算法的处理。

        下面我们对这三个模块分别进行介绍:

  ·中值滤波

        对图像进行3*3的滤波,这里中值滤波的本质就是对邻近区域内3*3区域计算均值,这里,我们使用自己编写的程序(不使用MATLAB自带的中值滤波函数)来仿真。

        仿真结果如下所示:

猜你喜欢

转载自blog.csdn.net/ccsss22/article/details/124238581