【FPGA教程案例31】通信案例1——基于FPGA的ASK调制信号产生

FPGA教程目录

MATLAB教程目录

---------------------------------------------------------------------------------------

1.软件版本

vivado2019.2

2.ASK调制原理

        振幅键控,记做ASK,或称其为开关键控(通断键控),记做OOK 。二进制数字振幅键控通常记做2ASK。对于振幅键控这样的线性调制来说,在二进制里,2ASK是利用代表数字信息“0”或“1”的基带矩形脉冲去键控一个连续的载波,使载波时断时续的输出,有载波输出时表示发送“1”,无载波输出时表示发送“0”。根据线性调制的原理,一个二进制的振幅调制信号可以表示完成一个单极性矩形脉冲序列与一个正弦型载波的乘积。

       2ASK信号可表示为:

3.ASK调制过程的FPGA实现

       通过上述分析,我们可以知道在FPGA中,实现ASK主要涉及到cos信号的产生,二进制数字和cos信号相乘所

猜你喜欢

转载自blog.csdn.net/ccsss22/article/details/125881989