led闪烁的Verilog代码

以下是一个简单的Verilog代码,用于控制FPGA板上的LED闪烁。代码使用了时钟分频器来控制LED的闪烁速度,通过一个计数器来确定LED的状态(开/关)。

module led_blink(
  input clk, //时钟输入
  output reg led // LED输出
);

// 定义计数器变量
reg [23:0] counter;

// 定义闪烁速度,此处为1秒
localparam COUNTER_MAX = 50000000;

// 时钟分频器
reg [7:0] divider;
always @(posedge clk) begin
    if (divider == 8'd100) begin
        counter <= counter + 1;
        divider <= 0;
    end else begin
        divider <= divider + 1;
    end
end

// LED状态计算
always @(posedge clk) begin
    if (counter == COUNTER_MAX) begin
        led <= ~led; // 取反输出
        counter <= 0; // 计数器清零
    end
end

endmodule

这个代码将时钟分频到了1秒,LED会每秒钟切换一次状态。你可以根据自己的需要调整闪烁速度,只需要修改COUNTER_MAX的值即可。注意,在你将代码烧录到FPGA板上之前,还需要将LED连接到正确的引脚上。

猜你喜欢

转载自blog.csdn.net/qq_36314279/article/details/129439954