verilog中移位循环

语法格式可以为

led<={led[6:0],led[7]}

表示了8位数码灯循环左移

例如:8位数码管流水灯语句可以表示为

always@(posedge clk or negedge rst_n)
    if(!rst_n) led<=8'b1111_1110;
    else if(cnt==20'd999_999) led<={led[6:0],led[7]};
    else;

猜你喜欢

转载自www.cnblogs.com/whitemaple/p/9182518.html
今日推荐