电赛汇总(二):常用传感器电路模块设计

电赛汇总(二):常用传感器电路模块设计

这一章节主要详细记录各种常用的传感器的电子芯片型号、设计原理与思想,以便随时查看翻阅。这部分内容出自黄根春等学者著的《全国大学生电子设计竞赛教程–基于TI器件设计方法》一书中,感兴趣的朋友可以购买翻阅。

3.1传感器

当将单片机作为测控系统时,系统总要有被测量信号的输入通道。对被测对象状态的拾取,一般都离不开传感器或敏感器件,这是因为被测对象的状态参数往往是一种非电物理量,而单片机系统只是一个能识别和处理电信号的系统,因此需要利用传感器将非电物理量转换成电信号才能实现测控功能。
传感器俗称“电五官”,是能够感受(或响应)规定的被测量,并按照一定规律转换成可用信号输出的器件或装置,其作用类似于人的感觉器官。传感器处于测试装置的输入端,是系统之间实现信息交流的“接口”,通常由直接相应于被测量的敏感元件和产生可用信号输出的转换元件及相应的电子电路所组成。

3.1.1 传感器分类

传感器的种类很多,目前尚没有统一的分类方法,一般常采用的分类方法有以下几种。
1.按输入量分类
如输入量分别为温度、压力、速度、加速度、湿度等非电量时,则相应的传感器称为温度传感器、压力传感器、速度传感器、加速度传感器、湿度传感器等。这种分类方法给读者提供了方便,容易根据测量对象选择所需要的传感器。
2.按测量原理分类
现有传感器的测量原理主要是基于电磁原理和固体物理学理论。如根据变电阻的原理,相应的有电位器式、应变式传感器;根据变磁阻的原理,相应的有电感式、差动变压器式、电涡流式传感器;根据半导体有关理论,则相应有半导体力敏、热敏、光敏、气敏等固态传感器。
3.按结构型和物性型分类
所谓结构型传感器,主要是通过机械结构的几何形状或尺寸的变化,将外界被测参数转换成相应的电阻、电感、电容等物理量的变化,从而检测出被测信号,这种传感器目前应用得最为普遍。物性型传感器则是利用某些材料本身物理性质的变化而实现测量,它是以半导体、电介质、铁电体等作为敏感材料的固态器件。
近年来,由于半导体技术已进入超大规模集成化阶段,各种制造工艺和材料性能的研究已达到相当高的水平。从发展前景看,传感器具有以下几个特点:固态化、集成化和多功能化、图像化、智能化。

3.1.2 霍尔传感器

霍尔传感器是利用半导体的磁电效应中的霍尔效应,将被测物理量转换成霍尔电势。霍尔效应是指:将一载流体置于磁场中静止不动,若载流体中的电流方向与磁场方向不相同时,在此载流体中平行于由电流方向和磁场方向所组成的平面上将产生电势,此电势称为霍尔电势。霍尔电势为 其中B为外磁场的磁感应强度,I为通过基片的电流,n为基片材料中的载流子浓度,e为电子电荷量,d为基片厚度。
集成霍尔传感器利用硅集成电路工艺将霍尔元件与测量电路集成在一起,有线性型霍尔传感器和开关型霍尔传感器。集成霍尔传感器的基本应用电路如图3-1所示。负载可以是一般电阻,也可以是放大器的输入电阻或指示器的内阻,阻值视具体情况而定。
应用开关型霍尔传感器检测转速是测量控制系统中最常用的方法。以测小车车速为例,为了提高测量精度,在车轮上均匀地安装了3个磁片,电路如图3-2所示。每当磁片经过霍尔片时,传感器输出端输出一个脉冲,通过计数器来计脉冲个数N,依此计算小车的行程。设车轮周长为C,则小车行程为S=NC/3,结合小车行驶时间T,可得小车的平均速率为v=S/T。
在这里插入图片描述

3.1.3 温度传感器

温度传感器的数量在各种传感器中占据首位,其中将温度转换为电阻变化的称为热电阻和热敏电阻传感器,将温度转换成电势变化的称为热电偶传感器。
热电偶传感器是建立在热电效应基础上的。热电效应是指将两种不同材料的导体组成闭合回路时,若两个结点的温度不同,则可踏中将产生一定的电流(电势)。这种电势的大小与材料性质及结点温度有关。
利用热电阻和热敏电阻的温度系数制成的温度传感器均称为热电阻式温度传感器。对于大多数金属导体的电阻,都具有随温度变化的特性,其特性方程满足:

在这里插入图片描述

式中,R₁、R₀分别为热电阻在t℃和0℃时的电阻,α为热电阻的温度系数(1/℃)。对于绝大多数金属导体,α值并不是一个常数,而是随温度而变化,但在一定温度范围内可近似视为一个常数,不同的金属导体α保持常数所对应的温度范围也不同。
热电阻传感器的测量电路最常用的是电桥电路,精度要求高的采用自动电桥,为消除由连接导线电阻随环境温度变化而造成的测量误差,常采用三线或四线制连接方法,在此不再详述。
电子设计中常用的是集成温度传感器。这种传感器是利用PN结的伏安特性与温度之间的关系研制成的一种固态传感器。集成温度传感器又分为模拟集成温度传感器和数字式集成温度传感器。常见的模拟集成温度传感器(如LM35),测量温度的精度高,线性度好,反应速度快,同时可以直接输出电压或电流值,便于数字化的处理,应用较为广泛。数字式温度传感器可以直接将温度值转化为数字信号,且转换精度高,测量范围广,无须外设便可以直接与单片机通信,应用方便。
LM35是电压输出型温度传感器,输出电压范围是±5V,灵敏度为10.0mV/℃,即温度为0℃时输出电压为零,电压每上升(下降)1℃输出电压便增加(减小)10mV。常温下测温精度为±0.5℃,自身发热对测量精度影响仅在0.1℃以内。
采用+4V以上单电源供电时,测温范围为2~150℃;采用双电源供电时,芯片若为金属壳封装,测温范围为-55~150℃;芯片若为TO-92 封装,测温范围为-40~110℃。LM35的两种封装形式及引脚图如图3-3所示。
至于数字式温度传感器,此处介绍DS18B20。该芯片采取1-Wire 的总线读取方式,且内部同时采样,将温度转换为数字信号,可直接通过控制读取,无须另加ADC采样,温度测量范围为-55+125℃,可编程为9位12位A/D转换精度,测温分辨率可达0.0625℃,被测温度用符号扩展的16位数字量方式串行输出,而且支持多路控制和测量,便于在最小的单片机资源消耗的情况下(只需要单片机上一根I/O引脚)对多点进行温度采集。DS18B20的封装引脚如图3-4所示。
在这里插入图片描述

3.1.4 光电传感器

光电传感器根据检测模式的不同可以分为如下几种。
①反射式光电传感器,将发光器与光敏器件置于一体内,发光器发射的光被检测物反射到光敏器件。
②透射式光电传感器,将发光器与光敏器件置于相对的两个位置,光束也是在两个相对的物体之间,穿过发光器与光敏器件的被测物体阻断光束,并启动受光器。
③聚焦式光电传感器,将发光器与光敏器件聚焦于特定距离,只有当被测物体出现在聚焦点时,光敏器件才会接收到发光器发出的光束。

3.1.5 红外传感器

红外传感器分为反射式和对射式,通常利用反射式红外传感器检测黑白物体或判断遮挡物,利用对射式红外传感器检测点滴速度。常用的收发一体的红外传感器是ST188。ST188采用高发射功率红外光电二极管和高灵敏度光电晶体管组成。检测距离可调整范围大,4~13mm可用,采用非接触检测方式。ST188的引脚图如图3-5所示,其极限参数见表3-1。

在这里插入图片描述

量提高红外二极管的发射功率。在极限参数允许的条件下,提高流过红外二极管的电流,便可以提
高红外二极管的发射功率。晶体管导通时集电结和发射结之间有一定的直流电阻,为了使三极管
导通时输出电压尽量的小,应选择尽量大的集电极电阻,但集电极电阻的最大值也有一定的限制,
当它达到一定值时,ST188在检测到黑色物体或遮挡物时将无法输出高电平。

3.1.6 超声传感器

超声传感器可以用来测量距离,探测障碍物,区分被测物体的大小。
超声波检测装置包含一个发射器和一个接收器,发射器向外发射一个固定频率的声波信号,当遇到障碍物时,声波返回被接收器接收。

3.1.6.1 基本原理

超声传感器是利用压电效应原理制作的,将电能和声能转换。超声传感器分为发射器和接收器分体的,也有发射器和接收器同体的。图3-6为UCM-T®40K 型超声传感器的内部结构图。
此超声传感器采用双晶阵子,把双压电陶瓷片以相反极化方向粘在一起。金属板的中心有圆锥形振子,发送超声波时圆锥形振子具有较强的方向性,可以高效地发送超声波。超声探头的构成晶片的材料可以有许多种,该型号的晶片采用陶瓷材料。超声传感器的主要指标为:
①工作频率:工作频率就是压电晶片的共振频率。当加到晶片两端的信号频率和晶片的共振频率相等时,输出能量最大,灵敏度也最高。该型号的工作频率为(40±1)kHz(UCM-T40K1·发射用)、(38±1)kHz(UCM-R40K1·接收用),因此在选择时尽量选择比较匹配的一对。
②工作温度:温度对超声器件工作及超声波的传播速度都会有影响。
③灵敏度:灵敏度主要取决于晶片本身,机电耦合系数大则灵敏度高。该器件的灵敏度大于-70dB/V。

3.1.6.2 超声测距原理

超声测距是根据超声波遇到物体反射,然后根据收到回波的时间t来计算距离d=vt/2(粗略公式),其中v是声音在空气中的速度(常温下为340m/s)。为使测量精度进一步提高,需要考虑测量设备的几何模型,如图3-7所示。
声波的传播方式应该是近似一个等腰三角形,考虑到发射器和接收器之间的距离h。则
在这里插入图片描述

其中s=vt/2。
在这里插入图片描述

由于超声发射器工作的同时会对接收器有很大的影响,所以超声发射器要采取间歇的工作方式,即发射出一串脉冲后,停止发送脉冲,等待接收器接收到回波后或者等待超时,没有物体、没有回波后再发下一串脉冲,进行下一次测量。其波形原理图如图3-8所示。
在这里插入图片描述

图3-8中显示,接收器会收到一串虚假回波,也就是发射器产生的干扰,这个干扰与发射波之间有一段延时,这是由于系统内信号的传输,以及发射器与接收器之间的距离等因素造成的。则在从脉冲发射时刻到收到虚假回波之间的时间内不能正常测量物体,在这段时间内就要关闭接收器。通过以上分析可知,测距仪会有一段盲区,盲区的大小是测距仪的一个性能指标。要想减小盲区,需要从以下几个方面去考虑:减小发射器与接收器之间的干扰;在不影响测量物体的情况下缩短发射的脉冲长度;合理设置开启接收器的时间等。

测距仪的另一个性能指标就是测量距离,也就是说,接收电路能够识别出接收到的回波信号。测量距离在很大程度上取决于接收电路的比较器比较门限,门限设得太高会影响测量距离,但也不能设置为0,因为需要滤除后级处理和前级的干扰,以及超声波在传播过程中受到影响而造成收到回波信号中一定会有的噪声;门限设得过低会引起仪器的误判。

3.1.6.3 误差来源和分析

①根据超声测距原理,超声测距的几何模型不一定是完美的等腰三角形,所以在测量方法上会引入一定的误差。
②超声波遇到物体反射,距离近的物体反射的回波幅值较强,距离较远的物体反射的回波幅值较弱,不同物体反射的超声波能够达到判决门限的起始脉冲不同,也会引入误差。
③对测量精度影响较大的一个因素是温度。
声波在在气体中传播的速度为
在这里插入图片描述

其中,μ、r、R为常数,μ为气体摩尔质量,r为气体的比热,R为气体常数,T为热力学温度。
因此声速与温度的平方根成正比,温度越高则声速越大。0℃时声波的速度为331.45m/s,所以声波的修正速度为
(其中t为摄氏温度)

因此,要提高测量精度,需要进行温度补偿,根据测量时的温度,来对声波速度进行定标。

3.1.6.4 注意事项

经过实验测试,超声传感器在使用过程中有一些注意事项。
①两接线脚焊接时间不宜过长,以免器件内的焊点溶化并脱焊及造成底座与接线脚之间松动。
②超声传感器不宜与腐蚀性物质接触。
③使用超声传感器时,一定要考虑到器件的个体间差异。虽然常用超声传感器的谐振频率为40kHz,但不同的传感器还是有所区别的。因此,使用前应对器件的工作频率进行测试,尽量使传感器工作在其谐振频率上,这样收到的回波的信号质量会得到提升。如果没有工作在谐振频率上,接收电路可能要放大上千倍才能得到需要的信号,但工作在谐振频率上,则仅仅放大几十倍就可以达到同样的效果,甚至更好。

3.1.7 金属应变片式传感器

金属应变片可以将试件上的应变变化转换成电阻变化。测量臂在载重时会发生形变,引起应变片金属丝的轴向应变。应变片的应变和电阻相对变化呈比例关系,通过全桥电路使输出电压和电阻的相对变化呈线性关系,可以实现载重和输出电压的线性关系。
采用4片相同的金属应变片组成的等臂全桥电路,其中R₁、R₃贴在梁臂的上表面,为测重敏感元件;R₂、R₄贴在梁臂侧面上,作为温度补偿元件。桥路输出电压为
在这里插入图片描述

称重传感器要求放大器有较高的输入阻抗,这样的放大器可由图3-9所示电路实现。该电路采用差动输入方式,较好地抑制了共模信号。其输出一端接地,方便与后续电路接口。放大倍数A 的计算公式为
在这里插入图片描述
在这里插入图片描述

3.1.8 接近开关

接近开关是工业用的集成金属探测元件,图3-10所示的电感式接近开关属于一种有开关量输出的位置传感器,它由LC高频振荡器和放大处理电路组成。当金属物体接近这个能产生电磁场的振荡感应头时,使物体内部产生涡流,这个涡流反作用于接近开关,使接近开关振荡能力衰减,内部电路的参数发生变化,由此识别出有无金属物体接近,进而控制开关的通或断。当检测到金属时,输出端输出低电平。
接近开关外部引出了蓝、黑、棕3种颜色的线,其外部电路如图3-10所示。当检测到金属时,输出端输出低电平,可通过不断查询该输出端的状态实现对金属的探测和计数。
在这里插入图片描述

3.1.9 小结

传感器的性能主要包括灵敏度、精度、动态特性、可靠性、温度指标、量程指标等。决定传感器性能的技术指标很多,要求一个传感器具有全面良好的性能指标,不仅给设计、制造造成困难,而且在实用上也没有必要。故应根据实际的需要与可能,在确保主要指标实现的基础上,放宽对次要指标的要求,以求获得高的性能价格比。
传感器的转换存在的非线性和误差是不可避免的,往往需要采取硬件补偿,或找出误差的方向和数值,采用修正的方法(包括修正曲线或公式)加以补偿和校正。

3.2 控制系统的组成

控制系统由许多功能模块组成,本章具体介绍控制系统中的常用功能模块。

3.2.1 超声测距

3.2.1.1 发射部分

最常用的超声传感器的谐振频率为40kHz。超声传感器的发射电路应包括超声波发生器、40kHz的音频产生器、驱动(激励)电路,根据需要还可以有编码调制等电路。
1.40kHz脉冲产生方案
40kHz的信号产生电路可以有多种方式实现,可根据用户的设计方案和器件限制来选择。
①采用555定时器产生。利用555多谐振荡器产生40kHz方波简单可行,但由于电路中的电阻和电容值误差较大,会使输出频率误差较大。
②由单片机产生。此方案系统规模小,硬件电路简单,但需要的定时器数目多,且产生的方数信号有很大误差。
③用DDS直接数字频率合成技术产生。此方案的输出波形稳定,精度高,并且可以根据实际电路的选频特性输出频率可精确调节的波形,测量范围大。但电路过于复杂。
④由CPLD/FPGA产生。此方案通过对CPLD/FPGA进行编程,构造分频器电路对4MH₂有源晶振频率进行分频,输出的40kHz方波波形稳定,且易于控制。
这里需要说明一点,产生的信号并不一定是40kHz,在使用之前最好对超声传感器进行测试,因为器件之间存在个体差异,应当根据测得的谐振频率来设计脉冲发生电路,这样才会使得超声传感器的发射功率和效率最高,效果最好。
2.发射部分电路
超声传感器所需的驱动电流不大,只要十几毫安,但是激励电压要求在4V以上,这样可以增加发射功率。
使用发射器和接收器型号分别为UCM-T40K1、UCM-R40K1的压电超声波换能器,在发送端,从FPGA直接输出脉冲信号,电流十分有限,因此所能提供的功率也无法满足超声传感器发送端所需的要求。为了提高超声波发送的功率,将FPGA输出的40kHz脉冲信号经反相器74LS04隔离后再经过电压比较器LM311提高发射电压后送入发射头两端。电路图如图3-11所示。
在这里插入图片描述

3.2.1.2接收部分

1.测量方案
①幅值检测法。在发射功率一定的情况下,回射波幅值随测量距离的增大而衰减,而回射波幅值的大小将直接影响测量的精度。因此该方案只适合粗略测量,精度达不到题目中的要求。
②度越时间检测法。度越时间即超声波从发射器发出到接收器接收的时间,度越时间与超声波在气体中的传播速度相乘即得声波传播的距离。此法不用考虑反射信号的大小,只检测反射信号的有无,
2.接收部分电路
反射回来的声波经声电转换器后得到的电信号幅值在mV量级,且含有幅值相近的噪声干扰,因而不宜直接进行电压比较,需经过放大与滤波后才能得到幅值较大的40kHz的正弦波,再经过电压比较后得到可以触发单片机中断的电信号。放大部分采用两级反向放大串接,第一级放大倍

数固定,第二级可调。滤波电路为二阶压控电压源带通滤波器,中心频率为40kHz,带宽为10kHz,可以滤除50Hz工频以及其他一些加性干扰。如图3-12和图3-13所示。
在这里插入图片描述

3.2.2 红外传感器的应用

3.2.2.1 探测黑线

应用反射式红外传感器ST188探测黑线。当红外二极管检测到黑线时,光敏三极管截止,流过三极管的电流很小,所以三极管输出接近高电平;当红外二极管检测到障碍物时,光敏三极管导通,由于三极管的集射极间的直流电阻远小于集电极与电源间的电阻,输出电压接近0V。晶体管后要加一级信号处理电路,这是为了提高信号的稳定性,并将高低电压转换为标准的TTL电平,以便于控制器(单片机或FPGA等)作出相应的处理。常使用的比较器是LM311,其门限电压可以通过电位器连续调节,可增强系统的自适应性。一般的单限比较器,如果输入信号在门限值附近有微小的干扰,则输出电压就产生相应的抖动,在电路中引入正反馈可解决这个问题。通常,在控制系统中不止用一个红外传感器,当使用多个红外传感器时,为使硬件电路更加简洁,常使用LM339,该芯片内集成了4个电压比较器。红外探测黑线模块电路如图3-14所示。
应用红外传感器测距原理与探测黑线类似,当红外二极管没有检测到障碍物时,光敏三极管截止,流过三极管的电流很小,输出为高电平;当红外二极管检测到障碍物时,光敏三极管导通,输出为低电平。晶体管后级仍然接一比较器电路,门限电压可调节。只是这种方法只能定性地测距,难以做到定量。
.  155    .

3.2.2.2 检测点滴速度

检测点滴速度采用的是红外发射接收对管,对射式光电传感器(以下简称为传感器)分为投入器和受光器两部分,两者光轴重合在同一直线上。工作时,投光器发出调制光,被受光器接收,变为电信号。当被测体进入检测区时,光被遮挡,受光器无光可受,传感器输出状态改变。然而,传感器工作一段时间后,调整好的光轴会发生变化,用黑色胶布将点滴管固定好,以减少外界干扰,尽量减小误差。
当液滴滴下时,由于水对红外光的散射作用,受光器接收不到红外光,受光管截止,输出低电平;无液滴滴下时,受光管导通,输出高电平。输出电平经过放大、LM311 比较、74HC04 整形后输出标准的矩形波信号,供FPGA读取,电路如图3-15所示。LM311正向输入端接有一反馈电阻构成滞回比较器,防止边沿抖动。
在这里插入图片描述

3.2.3 光敏电阻探测光源

光敏电阻常用于智能小车探测光源。光敏电阻的阻值一般在20200kΩ之间变动,有光时电阻低,输出电压小,无光时电阻高,输出电压大。将光敏电阻与一个阻值为210kΩ的电阻串联分压,分压电压输入比较器,调节比较器的比较电平即可大致判断光的强弱。电路图如图3-16所示。
为了更准确地探测光源,在小车的车头安装3个光敏电阻分压电路,车身两侧各装一个光敏电阻分压电路。控制器使用MSP430F449,分压电路的输出连到单片机的P6.0~P6.2口,由内置A/D转换器采样,然后比较各个电压的大小,从而准确判断光源的位置。相比于普通单片机的设计,MSP430单片机的内部ADC采样模块简化了整个系统的硬件电路。
需要注意的是,应给光敏电阻套上黑色皮套,防止光线的干扰,增强其方向性。
在这里插入图片描述

3.2.4 温度传感器的应用

模拟集成温度传感器LM35的应用电路如图3-17所示。直接从LM35出来的信号比较微弱,需要接一级放大,将信号调理到适合A/D转换器测量的范围,否则会对ADC的采样精度造成较大影响。考虑放大的精度和对共模干扰信号抑制的需要,选用精密高共模抑制比的运算放大器OPA277并接成同向放大电路。此处可选用12位高精度串口A/D转换芯片ADS7886,串口ADC 可节省资源。且由于温度变化率慢,可以选用采样率较低的ADC,推荐用MAX197。如果只测量在一定正温度范围内的温度,可以选用3.3V基准电压、高分辨率的采样芯片,如LTC1865等。
在这里插入图片描述

数字式温度传感器DS18B20的应用电路如图3-18所示。
157

3.2.5 角度测量模块

3.2.5.1 角度测量方案

方案一:采用成型的倾角传感器UCB-1(Universal Conditional Broad)。它能测量±20°的倾角,25℃测量精度小于0.126°。但该传感器成本较高,且其温度特性不够理想。
方案二:采用成型的角度传感器AME-B002。测量范围为0°360°,分辨率达到0.0879°,输出电压为0.5+4.5V。通过在其测量轴上固定一重锤,当斜面倾斜时,重锤带动测量轴旋转倾斜的角度值,对应相应的模拟电压输出。虽然重锤容易起振摆动导致输出电压波动,但通过对采样值进行平均值处理仍能够获得准确的角度信息。

3.2.5.2 角度测量电路

选择方案二作角度测量,电路如图3-19所示。
在这里插入图片描述

角度传感器在电动车跷跷板中有实际的应用,系统模型如图3-20所示。
在这里插入图片描述

设小车在达到理论平衡点C后又前进了x距离,由于摩擦力的影响,系统正好平衡。以整个系统为研究对象进行受力分析,如图3-20所示。G₁、G₂分别为跷跷板左边板和右边板所受的重力,G₃为小车所受的重力,L₁、L₂、L₃分别为G₁、G₂、G₃到平衡点C的力臂,φ为平衡时板的倾角,h为板两端的高度差,M为中心轴动摩擦力的转矩,动摩擦系数为μm,板长为L,转轴和底座接触点到木板质心的距离为R。
平衡方程为: G₁L₁-G₂L₂-G₃L₃+M=0
在这里插入图片描述
由以上方程配合角度传感器的使用,可以控制小车到达跷跷板的平衡点。需要注意的是,在使用角度传感器时,角度传感器上的重锤发生摆动,使输出的电压波形为一阻尼衰减的正弦波,如图3-21所示。由于一个周期电压衰减很小,所以取一个周期的平均值就为该状态下的倾角值。用示波器测量其周期为372ms,其最大误差为±1ms。利用MAX197对一个周期的波形进行采样,经过计算和实测,一个周期内能进行1150次采样。对采得数据取平均值即为倾角值。单次测量时间约为372ms,由于平衡点调速时,车运行速度较慢,单次测量时间能够满足反馈速度的要求。
在这里插入图片描述

3.2.6 直流电机的控制和驱动

3.2.6.1 电源方案

直流电机输出功率大、带负载能力强,常用于智能小车系统中小车的驱动。智能小车跟其他系统不同,它是一个运动体,不能像其他设备一样引出导线接到电源上工作,必须自身带电池工作。
由于直流电机启动瞬间电流很大,而且PWM驱动电流波动较大,会造成电压不稳、有毛刺等干扰,严重时可能造成单片机系统掉电。另外,考虑到电机耗电量比较大,因此采用双电源供电,对电机驱动电路与单片机以及其周边电路分别独立供电,这样可以消除电动机驱动造成的干扰,提高系统稳定性。

3.2.6.2 电机的驱动电路

电机的驱动电路有几种方法:调压方法,通过电阻网络或数字电位器调整电机的分压,从而达到调速目的,但是这种方法只能实现有限级调速,而且由于电机的内阻一般较小,因此分压后电机的效率不高;采用继电器对电动机的开或关进行控制,通过控制开关的切换速度实现对小车的速度进行调整。此方案电路简单,但继电器的机械特性易损坏、寿命短、可靠性不高。

  1. H型PWM电路
    目前比较广泛应用的一种方法是采用由达林顿管组成的H型PWM电路,通过单片机控制功率放大管的通断来控制电机。达林顿管交替工作在饱和与截止的模式下,因此效率非常高。H型PWM电路可以简单地实现转速和方向的控制,电子开关的速度很快,稳定性也极强。
    H型功率放大电路如图3-22所示,通过控制VT₁和VT₂的通断来控制电机的正反转。此处作一点说明,考虑到便携性,智能小车系统中控制器通常选用MSP430F449。单片机输出频率可调的PWM信号,当“1”端和“反”端同时加PWM信号时,VT₃、VT₂导通,VT₁、VT₄截止,B为正极电机正转:当“2”端和“正”端同时加PWM信号时,VT₁、VT₄导通,VT₁、VT₂截止,A为正极电机反转。通过调节PWM信号的占空比,可精确控制电机的转速。
    在这里插入图片描述
    由于继电器耦合方式的主要缺点是成本较高、耗电,另外当继电器在关闭和导通时,继电器将产生较强的电磁辐射,形成噪声源,对小信号有较大的影响。因此PWM脉冲信号与直流电机采用光耦实现耦合。
    光耦器件比较便宜,而且耦合时没有电磁辐射,能很好地将小信号电路单元与电机电路单元隔离。另外,光耦方式比较省电(这个因素在电池供电系统中比较重要)。但是使用光耦器件时,一定要注意选择同一型号同一系列的产品,因为光耦器件的线性度较差,应尽量选择线性度相同的器件。
    2.集成的电机驱动芯片
    另外一种方式就是采用集成的电机驱动芯片,这里主要用到的驱动芯片是SGS-THOMSON 公司的双桥驱动芯片L298N。其直流驱动电流最大为4A,且输出为TTL逻辑电平。其应用电路图如图3-23所示。
    1.298N 直接集成了两对电机驱动电路,其中10、12脚作为控制输入,13、14脚作为对应的控制输出来控制一个直流电机;5、7脚作为另一对控制输入,2、3 脚作为其对应的输出来控制另一个直流电机。在此以10、12期这一对控制输入为例,如图3-23 所示,当10脚输入正脉冲、12接低电平时,电机在转,其转速由正脉冲的占空比来决定;反之,当10脚接低电平,12 脚接正脉冲时,电机左转。
    在这里插入图片描述

3.2.7 步进电机的控制和驱动

步进电机具有较强的快速启停能力,可通过对其转动步数的控制实现对位移的精确控制。悬挂垫控制系统控制物体的运动、点滴检测系统中调整吊瓶的高度都要用到步进电机。

3.2.7.1.步进电机控制原理

步进电机是数字控制电机,它将脉冲信号转变成角位移,即给一个脉冲信号,步进电机就转动一个角度,因此非常适合于单片机控制。步进电机可分为反应式步进电机(简称VR)、永磁式步进电机(简称PM)和混合式步进电机(简称HB),其中混合式步进电机应用最广泛。
步进电机区别于其他控制电机的最大特点是,它是通过输入脉冲信号来进行控制的,即电机的总转动角度由输入脉冲数决定,而电机的转速由脉冲信号频率决定。
步进电机的驱动电路根据控制信号工作,控制信号由单片机产生。
1.控制换相顺序
通电换相这一过程称为脉冲分配。例如,三相步进电机的六拍工作方式,其各相通电顺序为→A→AB→B→BC→C→CA,通电控制脉冲必须严格按照这一顺序分别控制A、B、C相的通断。
2.控制步进电机的转向
如果给定工作方式正序换相通电,步进电机正转;如果按反序通电换相,则电机就反转。
3.控制步进电机的速度
如果给步进电机发一个控制脉冲,它就转一步,再发一个脉冲,它会再转一步。两个脉冲的间的超短,步进电机就转得越快,调整单片机发出的脉冲频率,就可以对步进电机进行调速。
步进电机需要电流脉冲来驱动,而驱动步进电机对信号的电流要求比较高,直接从单片机、CPLD或者EPGA等芯片出来的信号是不能驱动步进电机的。如果电流值达不到要求,步进电机就不能正常运转甚至不能正常启动。因此,为保证控制的精度,需尽量采用高性能的驱动电路,以保证步进电机良好的运转性能。

3.2.7.2 步进电机的驱动电路

1.达林顿管搭建的步进电机驱动电路
图3-24给出的是电机采用四相八拍工作方式时的典型驱动电路。在驱动电路中,选用TIP41C达林顿三极管,功率电阻R.参数为8Ω、2W,在集电极与电源之间接入一个保护二极管(型号IN4002),防止在功率管VT从工作状态变为截止状态时,由于感性电机绕组电流的连续性而会在三极管C极上产生一个较强的反向电动势(电流)而损害三极管;E和C极间二极管(型号IN4002)的加入是为了增大三极管的反向耐压值,起到泄流保护作用。
在这里插入图片描述

其工作原理是:当在A、B、C、D电平输入端输入高电平时,光敏二极管发光,从而光耦三极管工作。因三极管的C极、E极的电流大致满足相等的关系,故C极与E极相当于导通,从而形成电源到地的回路,导致达林顿三极管无法工作,因而使得电机的该相不能工作。反之,当A、B、C、D 电平输入为低时,光耦三极管不工作,而此时达林顿三极管工作,最终驱动步进电机转动。
2. L298N构成的步进电机驱动电路
L298N可以驱动两个二相电机,也可以驱动一个四相电机,输出电压最高可达50V,可以直接通过电源来调节输出电压。通过L298N构成步进电机的驱动电路,如图3-25所示。
通过单片机对L298N的IN1~IN4口和ENA、ENB 口发送方波脉冲信号。
3.集成驱动块
集成驱动块驱动能力强、工作稳定,其内部加入了光耦隔离器将控制电路与驱动电路完全隔离,防止了电动机在启动和制动时对控制电路造成影响。
集成驱动块的选择因所选用的步进电机而异。通常用到的步进电机大都是三相或四相的,此处以三相电机为例进行说明。三相步进电机的定子上有6个凸齿,每一个齿上有一个线圈。线圈绕组的连接方式是对称齿上的两个线圈进行反相连接,如图3-26所示,6个齿构成3对磁极(A-A、B-B、C-C)。这里使用常州微特电机总厂的45BC340F 三相步进电机,可以使用UP-3BF04型号的集成驱动块。
该驱动器使用简单,只需要两根信号线便实现三相步进电机的精确控制。一根信号线通过输出逻辑电平控制电机的转动方向,另一根信号线通过输出频率可变的方波信号来控制电机的转动速度,如图3-27所示。必须注意的是,对步进电机的驱动信号存在一个必须避开的频率——共振频率f₀。
在这里插入图片描述

UP-3BF04 驱动器的特点是:①PWM恒流驱动,三相六拍励磁方式,电源损耗极低且具有极高的开关效率;②自动半流锁定功能,驱动电流可达4A;③所有控制信号与功率驱动部分光电隔离;④散热外壳与驱动器内部完全绝缘。其引脚说明如下:
(1)电机驱动部分
A:电机绕组A 相接红线端;
B:电机绕组B相接绿线端;
C:电机绕组C相接黄线端。
(2)控制部分
CP:步进脉冲输入端,上升沿有效;
U/D:方向控制器,U/D=1时电机正转,U/D=0或悬空时电机反转;
FREE:脱机端,高电平有效,即FREE=1时,电机处于释放状态;
SGND:所有控制信号地线,此端须与驱动电源地线(GND)隔离;
VDC:电机驱动电源,直流1840V,不要求稳压,可承受-20%+15%的电压波动;
GND:驱动电源地线,此端须与信号地线(SGND)隔离。

3.2.8 语音模块

3.2.8.1 前级通道

1.语音输入级
话筒选用比较普及的驻极体话筒,具有体积小、结构简单、性价比高的特点。其内部主要部件是一个场效应管,它能够把普通人声转换成5~10mV的电压信号。
前级通道用来将话筒输出的微弱语音信号放大到A/D转换器的输入范围内,并尽可能地减少输入噪声。电压放大有如下两种方案。
(1)同相放大
为了实现信号输入级与放大级之间的阻抗匹配,在话筒和放大器之间加一级射极跟随器,起隔离和缓冲的作用。实际电路接法如图3-29所示。然后根据具体放大倍数设计几级同相放大器,增益电阻尽量选用电位器,以便调节,前级增益小,作微调,后级增益大,作粗调。同相放大器具有很高的输入阻抗,形式简单,采用多级级联的方式,可以获得十分高的电压增益。
在这里插入图片描述

(2)差分放大
考虑单端话筒输入放大会有较大的背景噪声,因此采用两只话筒分别接入差分放大器的正、负端,差分放大电路具有十分高的共模抑制比,对语音信号的共模噪声具有很高的抑制作用。此外,该电路还可以有效地抑制零点漂移和温漂。
差分放大电路如图3-30所示。第一级采用双话筒差分输入,有效地抑制环境噪声。其差模电压增益 为82倍。电容C₁,C₂,C₃,C₄为隔直电容,消除直流分量对系统的影响。
值得说明的是,仪表放大器(比如AD620、INA118等)与传统的差分放大器相比,能进一步减少系统外部窜入的音频电信号干扰,因此系统设计中可以优先选用仪表放大器。
2.滤波器设计
人声频率范围在300~3400Hz,为尽量减少外界噪声干扰,防止混频失真,提高信噪比,必须设计一带通滤波器,使系统经存储然后回放的声音尽量清晰且不失真。滤波要求通频带内平坦而过渡带陡峭。一般情况下,巴特沃斯滤波器通频带较为平坦,而采用多阶滤波可使得过渡带更陡峭。所以此处采用4阶巴特沃斯低通和4阶巴特沃斯高通级联。低通滤波器的截止频率为3.4kHz,便
·  166  ·

于滤除带外的高次谐波,以减少因8kHz采样率而引起的混叠失真。高通滤波器的截止频率为
300Hz,滤除带外的低频信号,以减少带外工频等分量的干扰,大大减少噪声的影响。因为前面有
专门设计的放大电路,所以滤波器的增益为1。由4阶巴特沃斯函数极点可以算出其归一化滤波
器的各元件参数。电路图如图3-31所示。
在这里插入图片描述
在这里插入图片描述

3.2.8.2 后向通道

1.功率放大器
若要实现外放功能,则将信号经功率放大后由扬声器输出。功率放大采用通用型的音频功率

放大器LM386来完成,电路图如图3-32所示。其中,C₁,C₂的作用是电源滤波。因为整个语音模块的多个集成运放公用一个直流电源,通过电源内阻的耦合有时会产生低频振荡。采用电源去调的方法可以有效抑制低频振荡。C₂对高频信号形成低阻抗通路,起到高频滤波作用;C₁用作低频滤波,其最高放大倍数为25。
在这里插入图片描述

不过,目前TI公司生产的D类音频功率放大器TPA2000d2(其引脚图见图3-33)或TPA2000d4颇受青睐,常用于音响中的功率放大。以TPA2000d4为例,下面简单介绍该芯片的用法。
在这里插入图片描述

该芯片采用+5V供电,能够驱动阻抗低至4Ω的扬声器。由于该芯片采用TI公司的第二代调制技术,其效率和信噪比均得到显著提高,这使得该芯片可直接与扬声器连接,而不需要在功放的输出端外接LC滤波器。放大器的增益可由GAIN1/GAIN2 两个引脚分别设定为6,12,18,23.5dB。该芯片还集成了耳机放大器,MODE引脚用来选择放大器类型,其典型应用电路图如图3-34所示。
2.平滑滤波
为滤除DAC输出信号中的高频分量,可以独立设计一个多阶低通滤波器,也可直接使用图3-31所示的音频带通滤波器。
在这里插入图片描述
由于实际采样脉冲有一定的持续时间(平顶采样),抽样得到的信号的频谱是由理想的采样信
在这里插入图片描述
在这里插入图片描述

3.2.9 无线收发模块

无线收发模块的具体应用见本书的第4章。在控制系统中,考虑到系统的规模及控制的灵活性,一般使用集成收发模块。集成收发模块不仅控制灵活,且传送过程中误码率低,抗干扰能力强,适合相对运动的物体间不间断的通信。

此处介绍集成收发模块RF24L01。该芯片3.3V供电,功耗小,最高工作速率为2Mbps,内置2.4GHz天线,并采用高效的GFSK调制,抗干扰能力强。这款芯片制成了PCB,内置了专门的稳压电路,使其在各类电源供电的情况下,都有很好的通信效果。该模块可以通过软件设置地址,只有收到本机地址时才会输出数据,可直接接各种单片机使用,软件编程十分方便。模块原理图如图3-36所示。
在这里插入图片描述

猜你喜欢

转载自blog.csdn.net/weixin_47567401/article/details/131347688