格雷码

binary to gray

 1 odule bin2gry(Gry,Bin);
 2 parameter length = 8;     //以八位示例
 3 output [length-1:0] Gry;
 4 input [length-1:0] Bin;
 5 
 6 reg [length-1:0] Gry;
 7 integer i;
 8 
 9 always @ (Bin)
10 begin
11     for(i=0;i<length-1;i=i+1)
12         Gry[i]=Bin[i]^Bin[i+1];
13     Gry[i]=Bin[i];
14 end
15 
16 /*另一种简单的实现方法如下:*/
17 // assign Gray = (Bin >> 1) ^ Bin;
18 
19 endmodule

grey to binary

 1 module gry2bin(Gry,Bin);
 2 parameter length = 8; 
 3 input [length-1:0] Gry; 
 4 output [length-1:0] Bin; 
 5 
 6 reg [length-1:0] Bin; 
 7 integer i;
 8 always @ (Gry)
 9 begin    
10     Bin[length-1]=Gry[length-1];    
11     for(i=length-2;i>=0;i=i-1)        
12         Bin[i]=Bin[i+1]^Gry[i];
13 end
14 endmodule

猜你喜欢

转载自www.cnblogs.com/protogenoi/p/8989699.html
今日推荐