关于ISE无法生成DDS IP核的问题

直接上error:

"E:/*/ipcore_dir/tmp/./_cg/_dbg/./dds_compiler_v4_0/sin_cos.vhd" line 228: Real operand is not supported in this context.
ERROR:sim -ERROR:sim -  Process will terminate. For technical support on this issue, please open a WebCase with this project attached at http://www.xilinx.com/support.  
ERROR:sim - Failed executing Tcl generator.
ERROR:sim - Failed to generate 'dds_error'.  Failed executing Tcl generator.

参数设置如下:

 

上网查找后,发现解决方法如下:

 但是,我的输出位数一定需要是16位的怎么办呢?

解决办法:

参数设置不要使用Hardware Parameters,而是使用System Parameters。

Spurious Free Dynamic Range = outputwidth*6

Frequency Resolution = clock /(2^phasewidth)

当然也可以使用Hardware Parameters设置好之后跳到Summary页把参数复制过来。

这个问题出现的原因应该是IP核使用Hardware Parameters参数换算出了问题。而且,当两套参数不一致时,DDS IP核貌似默认以System Parameters为准。

猜你喜欢

转载自blog.csdn.net/ViV587/article/details/82467504