计数器的计数

一、计数器的加一条件确定
一定要选择有效的加1条件,若要连续计数,那么一个时钟脉冲是无法作为加1条件去计数的。下面部分为不需要连续计数的普通计数器。

always @(posedge clk or negedge rst_n)begin
  if(rst_n == 1'b0)begin
    cnt <= 0;
  end
  else if(add_cnt)begin
    if(end_cnt)begin
      cnt <= 0;
    end
    else begin
    cnt <= cnt + 1'b1;
    end
  end
end
assign  add_cnt =  ...//计数器数什么
assign  end_cnt = add_cnt && cnt ==    x-1   //计数器数到多少  

若要对计数器进行连续判断计数,那么只需要在程序中稍作更改,代码如下

always @(posedge clk or negedge rst_n)begin
  if(rst_n == 1'b0)begin
    cnt <= 0;
  end
  else if(add_cnt)begin
      if(end_cnt)begin
         cnt <= 0;
      end
      else begin
         cnt <= cnt + 1'b1;
      end
    end
 else begin  //即不满足加一条件就清0
    cnt <= 0;
 end
end
assign  add_cnt =  ...//计数器数什么
assign  end_cnt = add_cnt && cnt ==  x-1     //计数器数到多少  

二、计数器的结束条件
计数器的结束条件一定要严格,end_cnt = add_cnt && cnt == x-1;为什么要加add_cnt这个条件?因为如果不加这个条件,计数可能会出现错误。
计数器波形
如上图所示,计数器数的是dout拉高的次数,而从中可以看到,cnt== 2表示数到3,而此时上图dout只拉高了两个时钟脉冲。所以,此时的结束条件为 dout1 && cnt2-1;即 add_cnt && cnt == 2-1;就表示,在计数器记到1并且有dout为高信号时达到计数2个的条件。add_cnt这个条件是确保计数正确的。

猜你喜欢

转载自blog.csdn.net/emperor_strange/article/details/82778741