verilog 错误10028

转载自:http://www.cnblogs.com/woshitianma/archive/2013/01/12/2858051.html

Error(10028):Can't resolve multiple constant drivers for net “ ” at **.v

两个进程里都有同一个条件判断的话,会产生并行信号冲突的问题。

同一个信号不允许在多个进程中赋值,否则则为多驱动。

进程的并行性决定了多进程不同能对同一个对象进行赋值。

猜你喜欢

转载自blog.csdn.net/jiangbeicaizi000/article/details/52103550