Moore状态机和Mealy状态机的区别

版权声明:本博客内容来自于个人学习过程中的总结,参考了互联网、数据手册、帮助文档、书本以及论文等上的内容,仅供学习交流使用,如有侵权,请联系,我会重写!转载请注明地址! https://blog.csdn.net/Reborn_Lee/article/details/88918615

直接给出结论:

根据状态机的输出是否与输入条件相关来区分Moore状态机和Mealy状态机。


Moore状态机:输出仅仅与当前状态有关;

如下实例,如三段式写法来写的一个序列检测的状态机(【 FPGA 】序列检测器的Moore状态机实现),状态机最后的输出:


    
    always @*
    begin
        if(current_state == s4) dout = 1;
        else dout = 0;
    end
    
    

由此可见,Moore状态机仅仅和当前状态有关。

也可以看状态转移图判断:


Mealy状态机:输出不仅取决于当前状态,还和输入有关;

同样,如下序列检测的例子:【 FPGA 】序列检测器的Mealy状态机实现

同样是三段式描述,最后的输出为:


	
	always @ *
	begin
		if(reset) dout = 1'b0;
		else if( (current_state == s3)&&(din == 1'b1) ) dout = 1'b1;
		else dout = 1'b0;
	
	end
	

同样,也可以通过状态转移图判断:

可见,输出不仅和当前状态和输入都有关系。

最后,Moore状态机和Mealy状态机可以相互转换。上述两个状态转移图实际上实现的是同一个功能,就是检测序列1101.

猜你喜欢

转载自blog.csdn.net/Reborn_Lee/article/details/88918615