Multi corner

在已有工程上评估不同速度等级下的Fmax,可以不通过重新选择器件编译得到结果,直接利用quartus_sta的speed选项即可:

quartus_sta project_name -c revision_name --speed=[2|3|4...]


map后进行时序分析,可以在quartus_map和quartus_cdb完成后不经过quartus_fit提前进行时序分析:
quartus_sta project_name -c revision_name --post_map
此时的时序分析根据mapping的结果利用粗略的延迟模型进行计算,利用较大的工程经实测发现分析过于粗略,和实际相差较大,基本没有参考价值.因此对于较大的工程想在耗时的fitting前预估Fmax,采用该方法不是很可靠.


multicorner分析:
quartus_sta project_name -c revision_name --multicorner=[on|off]
利用该命令可以开关时序分析的multicorner选项.当multicorner为on的时候,分析如下三个corner的时序情况
1.Slow 900mV 85C
2.Slow 900mV 0C
3.Fast 900mV 0C
其中Fast/slow为timing model.
如果不指定multicore为on,缺省采用Slow 900mV 85C.

另外使用不同的时序模型可以采用如下命令:
quartus_sta project_name -c revision_name --model=[fast|slow]
如果使用fast,缺省使用Fast 900mV 0度
quartus_sta project_name -c revision_name --model=[fast|slow] --temperature [0|85] --voltage 900 --grade [c|i] --speed [2|3|4...]
上述命令会重新运行timequesttiming analyzer ,和编译流程结尾的最后一步一样;
如果采用tcl shell可以如下:
quartus_sta -s
> project_open project_name -revision revision name
> create_timing_netlist -model=[fast|slow] -temperature [0|85] -voltage 900 -grade [c|i] -speed [2|3|4...]
> read_sdc
> report_clock_fmax_summary


过压情况下的时序分析:[超频!]
quartus_sta project_name -c revision_name --voltage=1100 -temperature=85
上述指令将电压调高到1100mV,同时分析85度情况下的时序情况;


[Note: For more about the above mentioned tricks ,refer to "Quaruts II scripting Reference Manual", which canbe downloaded from altera website]

发布了14 篇原创文章 · 获赞 74 · 访问量 13万+

猜你喜欢

转载自blog.csdn.net/u012923751/article/details/89706607
今日推荐