【工程源码】基于FPGA的OV5640/OV7670的IIC接口初始化Verilog代码

 本文由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。

    

    之前一直有网友吐槽CMOS摄像头的初始化使用NIOS来进行初始化,由于绝大多数网友对NIOS的操作并不熟练,因此无法使用我们提供的工程进行更多的自主开发,小梅哥在今天,把这个控制器写了出来,在AC620开发板上进行了测试,能够成功的完成OV5640摄像头的初始化工作,大家有需要的可以拿去使用。附件还提供了两个使用该控制器在AC620开发板上实现摄像头OV5640/OV7670采集,SDRAM存储,5/4.3寸/VGA触摸屏显示的工程,可以作为大家使用该控制器时候的参考。注意,两个摄像头的初始化模式是不一样的,OV5640的寄存器地址是16位宽度,OV7670的是8位宽度。i2c_control模块有个addr_mode信号,为0则是8位地址宽度,对应OV7670,为1则是16位地址宽度,对应OV5640.

发布了51 篇原创文章 · 获赞 3 · 访问量 3003

猜你喜欢

转载自blog.csdn.net/zgmxs/article/details/104316854