Open source RISC-V processor (Hummingbird E203) learning (two) modify the FPGA synthesis environment (use your own Xilinx board)

1. Brief description

First of all, I would like to thank Xinlai for opening up the Hummingbird E203 risc-v processor, providing a relatively complete engineering environment and supporting software and hardware. But the supporting FPGA board card is too expensive, it is not a small learning cost for self-funded learning, and I also think that a complete environment can facilitate our learning to a certain extent, but on the contrary, you will ignore many basic details. , This part happened to be done by others, many people will fall into a learning misunderstanding, use the command to run, and then burn the project to the supporting FPGA and run it. It seems that there is no problem, so I like to toss , Because the tossing forces me to continue to solve the problem, I will think about many details in the process of solving the problem, and I am more impressed. Of course this is my personal learning method.
If you have Xilinx FPGA board, you can learn how to port e203 to your own board.

2. Run the original FPGA project

Enter the FPGA directory;
Insert picture description here
gvim opens the "README.md" file, the following is the FPGA synthesis command.
Insert picture description here
Here is the last one: artydevkit as an example. This is Digilent's FPGA board. We can refer to this project and modify it into our FPGA. Execute the following commands in sequence. The second is to use "setup" directly to open the gui of vivado to view the entire project.

make install CORE=e203 FPGA_NAME=artydevkit 
make setup CORE=e203 FPGA_NAME=artydevkit 

But the following error occurred, this is because our environment does not have the FPGA Board file of Digilent installed.
Insert picture description here
Download portal: Digilent FPGA Board download link
Click below to download directly.
Insert picture description here
After downloading, unzip, enter the directory where the picture is located, and select "arty-a7-35" to copy into the shared directory of the virtual machine. For this operation, please refer to my previous article: Build your own digital IC EDA environment (3): Centos7 Install EDA (vcs2018, verdi2018, etc.) IC tools and scripts to run the first project .
Insert picture description here
Enter the virtual machine, enter the shared directory as shown in the figure, and use the following command to enter the board file into the vivado's board_files directory. If there is no permission, use root permission.

cp -r arty-a7-35 ../../../home/Xilinx/Vivado/2019.1/data/boards/board_files/

Insert picture description here
Go back to the FPGA directory of the e203 project and rerun the command to successfully start vivado and load the entire project;
Insert picture description here

3. Modify FPGA project

1) Copy environment
复制一份artydevkit的环境来修改,新的名字可以自己取,我这里叫“my_325t”;

Insert picture description here

2)

Enter the consrs in the "my_325t" directory, copy a copy of the constraint file, and modify it according to our own board resources.
Insert picture description here

Guess you like

Origin blog.csdn.net/weixin_40377195/article/details/112796238