初学FPGA


  Verilog HDL作为现在最流行的FPGA开发语言,当然是入门基础。

 从最简单的代码学起。找最简单的基础实例(带仿真例程),看不懂查阅工具书。看完之后用综合工具综合,然后看RTL图,能看到这些代码最终翻译成了什么样的数字电路。

 紧接着就是仿真或者上板实测:用仿真的信号输入到编写的模块里面,才能清晰的知道最终电路输出是什么结果,是否和设想的一致。如果不一致,找到不一致的原因。对于简单设计,仿真和实际上板是看不出差别的。

另外:明白编写的逻辑是什么电路,电路是整个FPGA设计永远的核心!如果发现有一天对自己写的逻辑非常清楚他出来是什么样子,那么恭喜你入门了!换句话说,就是要学会用机器的思维去看你的代码,只有多综合你才能懂xi编译器是什么综合规律,用机器去理解机器才是最好的理解!

        适合初学者的案例,顺序由易到难:

        N bit计数器(FPGA综合的计数器和数电书上是不一样的,大家仔细去对比)。

        LED控制。也就是单个信号输出规律控制,比如输出1s闪一次等等

        用状态机控制LED,比如按键A,按下是0.5s闪烁一次,再次按按键A是1s闪烁 一次等等,可以自己自由编写。

        任意整数分频。

        DDS设计。

        模拟串口通信,比如波特率9600,起始位和停止位各1位,校验位没有。就按这种方式跟上位机通信看看能否正常工作。这个如果你能实现说明你已经有了一个质的飞跃,能够基本懂得verilog怎么设计电路了。

        SPI通信,也是比较简单的一种协议,不论做主还是从都可以试一下。

        I2C通信,难度和SPI差不多。

        学会常见IP核的使用。


版权所有权归卿萃科技 杭州FPGA事业部,转载请注明出处

作者:杭州卿萃科技ALIFPGA

原文地址:杭州卿萃科技FPGA极客空间 微信公众号


扫描二维码关注杭州卿萃科技FPGA极客空间


猜你喜欢

转载自www.cnblogs.com/alifpga/p/9087086.html