Modelsim+Debussy联合使用

   首先电脑上要安装好这两款软件并破解,我的电脑上目前安装的是Modelsim6.5e和Debussy5.4V9。

       1、拷贝文件..\Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll至文件夹..\modeltech_6.5\win32

           2、取消文件..\modeltech_6.5\modelsim.ini只读属性后,打开,找到 

                        ; Veriuser = veriuser.sl

                  改为

                        Veriuser = novas.dll

 

注意将前面的;去掉。

这算是环境配置吧。接下来就是实际操作了

1、首先在testbench文件里面加上如下代码

//调用ModelSim生成波形文件,并保存为wave.fsdb,供Debussy查看
// dump fsdb file for debussy
initial
begin
  $fsdbDumpfile("wave.fsdb");
  $fsdbDumpvars;
end

2、编写HDL文件列表文件:rtl.f,以我的为例:

//*********目录: ./testbench/-------------------------------------------------------------/
../testbench/TFT_tb.v
../testbench/mt48lc8m16a2.v

//---------目录: ./src/  -----------------------------------------------------------------/
../src/CONTROL_UNIT.v
../src/LCD.v
../src/MCU.v
../src/SPI_CTL.v
../src/TFT.v
../src/TOUCH.v

//--------目录: ./src/sdram/-------------------------------------------------------------/
../src/sdram/sdr_ctrl.v
../src/sdram/sdr_data.v
../src/sdram/sdr_par.txt
../src/sdram/sdr_sig.v
../src/sdram/sdr_top.v

3、编写Modelsim命令行脚本文件sim.do

../src/sdram/sdr_sig.v
../src/sdram/sdr_top.v
       3、编写Modelsim命令行脚本文件sim.do

vlib work             ;#建立work库
vlog -f rtl.f         ;#添加工程文件
vsim work.TFT_tb ;    ;#仿真  需要按需要修改为相应的顶层文件
run 10ms              ;#仿真10ms
q                     ;#退出

4、编写批处理脚本文件run.bat

::关闭回显
@ECHO OFF
::Modelsim Command
echo "Runing Modesim6.5e......"
D:\modeltech_6.5e\win32\vsim.exe -c -do sim.do
::删除Modelsim生成的相关文件
echo "Delete Intermediate File Generated By Modesim6.5e"
RD work /s /q
DEL transcript vsim.wlf /q
::Debussy Command
echo "Runing Debussy5.4v9......"
D:\Novas\Debussy\bin\Debussy.exe -f rtl.f -ssf wave.fsdb -2001
::删除波形文件
echo "Delete wave.fsdb......"
DEL wave.fsdb /q
::删除Debussy生成的相关文件
echo "Delete Intermediate File Generated By Debussy5.4v9"
RD Debussy.exeLog /s /q
DEL debussy.rc /q
::退出命令行
EXIT

这里面的配置需要按照具体路径和配置来更改

然后点击run.bat,最后就会将HDL代码使用Modelsim进行仿真,然后调用Debussy软件查看了。


modelsim是windows比较常用的仿真工具,虽然编译没有VCS快,但是比较方便。但是调适能力却比不debussy,而debussy没有编译能力,所有一般设计者在windows下习惯使用modelsim+debussy.本文将详细介绍Modelsim+debussy调试基本步骤:

Modelsim阶段:

a.将debussy安装目录下的\share\PLI\modelsim_fli54\WINT下的novas.dll拷贝到modelsim安装目录下的win32文件加下。

b.将modelsim安装路径下的modelsim.ini中的;Veriuser = veriuser.sl,改为Veriuser=novas.dll,注意吧“;”去掉。

c.在testbench中写入initial begin $fsdbDumpfile("wave.fdsb"); $fsdbDumpvars; end

d.仿真testbench后,在vsim中用quit -sim,结束仿真。如果不这样,在用debussy添加波形时,不会出现波形。

注意:起初用的是Modelsim SE10.0,但是设置不成功;之后用Modelsim SE6.1 就OK了。

猜你喜欢

转载自blog.csdn.net/wordwarwordwar/article/details/80404350
今日推荐