VS Code关联vivado并安装Verilog插件

前言

VS Code可谓是完美的Verilog编辑器,免费使用,关联方便。

安装软件

安装VS Code:
VS Code是一个免费使用的软件,不需要破解,地址:VS CODE

插件安装

安装之后,安装Verilog插件,十分简单,直接点击:
https://marketplace.visualstudio.com/items?itemName=ericsonj.verilogformat

关联Vivado

关联使用:使用VS Code关联Vivado使用,关联路径:
在这里插入图片描述

在这里插入图片描述

框内填写:

D:/Users/88423/AppData/Local/Programs/Microsoft VS Code/Code.exe -g [file name]:[line number]

其中第一部分是软件安装地址。

猜你喜欢

转载自blog.csdn.net/Reborn_Lee/article/details/108141393