(28)XIlinx FPGA 原语简介(FPGA不积跬步101)

1 引言

原语,即primitive。笔者主要从事Xilinx FPGA开发,这里只介绍XIlinx FPGA原语。使用原语的好处,可以直接例化使用,不用定制IP;项目开发中经常用到和IO有关的原语。

2 原语介绍
1)IBUF

IBUF是输入缓存,一般vivado会自动给输入信号加上,不需要手动添加。

2)IBUFDS

IBUFDS是IBUF的差分形式,也就是说接收差分信号然后转为单端信号。

3)BUFG
全局时钟缓存,接收时钟时使用。
4)IDDR
接收双沿采样数据,例如:千兆RGMII接口接收。

5)ODDR
发送双沿采样数据,例如:千兆RGMII接口发送。

6)IBUFG
IBUFG即输入全局缓冲,是与专用全局时钟输入管脚相连接的首级全局缓冲,接收时钟使用。

7)IBUFGDS
IBUFGDS是IBUFG的差分形式,也就是说接收差分信号然后转为单端信号,针对时钟而言。

3 结束语
希望对你有帮助,如果遇到问题,可以一起沟通讨论,邮箱:[email protected]

猜你喜欢

转载自blog.csdn.net/m0_46498597/article/details/107846356