【FPGA教程案例10】基于Verilog的复数乘法器设计与实现

FPGA教程目录

MATLAB教程目录

---------------------------------------------------------------------------------------

1.软件版本

vivado2019.2

2.本算法理论知识和Verilog程序

       在数字信号处理过程中,复数乘法有着非常多的应用,包括信号混频,FFT,IFFT。复数乘法器的计算公式如下:

设复数

        x = a + b i,

        y = c + d i,

则复数相乘的结果为:

x * y = (a + b i)*(c + d i) = (ac - bd) + i (ad + bc) 。

由此可以看到,一个复数乘法器,包括四个乘法器(完成a*c,b*d,a*d以及b*c),三个加法器。

扫描二维码关注公众号,回复: 14360599 查看本文章

其中乘法器的实现,参考前面课程:

猜你喜欢

转载自blog.csdn.net/ccsss22/article/details/125609880