双向通信

一、概述

与单向通信相同的是,双向通信的两端也分为initiator和target,但是数据流向在端对端之间是双向的。双向通信中的两端同时扮演着producer和consumer的角色,而initiator作为request发起方,在发起request之后,还会等待response返回。

UVM双向端口分为以下类型:

  • uvm_blocking_transport_PORT
  • uvm_nonblocking_transport_PORT
  • uvm_transport_PORT
  • uvm_blocking_master_PORT
  • uvm_nonblocking_master_PORT
  • uvm_master_PORT
  • uvm_blocking_slave_PORT
  • uvm_nonblocking_slave_PORT
  • uvm_slave_PORT
     

PORT代表了port、export

二、分类

 双向端口按照通信握手方式可以分为:

  • transaction双向通信方式
  • master和slave双向通信方式

transport端口通过transport()方法,可以在同一方法调用过程中完成REQ和RSP的发出和返回。

master和slave的通信方式必须分别通过put、get和peek的调用,使用两个方法才可以完成一次握手通信。master端口和slave端口的区别在于,当initiator作为master时,它会发起REQ送至target,而后再从target端获取RSP,当initiator使用slave端口时,它会先从target端获取REQ,而后将RSP送至target端。
 

三、transport

在这里插入图片描述

transport双向通信方式

class comp1 extends uvm_component;
	uvm_blocking_transport_port #(itrans, otrans) bt_port;
	`uvm_component_utils(comp1)
	...
	task run_phase(uvm_phase phase);
		itrans itr;
		otrans otr;
		int trans_num = 2;
		for(int i = 0; i < trans_num; i++) begin
			itr = new("itr", this);
			itr.id = i;
			itr.data = 'h10 + i;
			this.bt_port.transport(itr, otr);
			`uvm_info("TRSPT", $sformatf("put itrans id: 'h%0x, data: 'h%0x | get otrans id: 'h%0x, data: 'h%0x", itr.id, itr.data, otr.id, otr.data), UVM_LOW)
		end
	endtask
endclass
		
class comp2 extends uvm_component;
	uvm_blocking_transport_imp #(itrans, otrans, comp2) bt_imp;
	`uvm_component_utils(comp2)
	...
	task transport(itrans req, output otrans rsp);
		rsp = new("rsp", this);
		rsp.id = req.id;
		rsp.data = req.data << 8;
	endtask
endclass

class env1 extends uvm_env;
	comp1 c1;
	comp2 c2;
	`uvm_component_utils(env1)
	...
	function void build_phase(uvm_phase phase);
		super.build_phase(phase);
		c1 = comp1::type_id::create("c1", this);
		c2 = comp2::type_id::create("c2", this);
	endfunction
	function void connect_phase(uvm_phase phase);
		super.connect_phase(phase);
		c1.bt_port.connect(c2.bt_imp);
	endfunction
endclass

 双向端口处理类似于单向端口例化和连接,不同的只是要求实现对应的双向传输任务task transport(itrans req, output otrans rsp)

猜你喜欢

转载自blog.csdn.net/Arvin_ing/article/details/127709485