创建AD9361的vivado工程并导入SDK(ZYNQ平台)

vivado版本 2017.4(其它版本步骤基本相同)(官网步骤都有配图进入可查看)
(官网链接 https://wiki.analog.com/resources/fpga/docs/build)
1、下载no-os文件 2018_r1
https://github.com/analogdevicesinc/no-OS
2、下载hdl文件2018_r1
https://github.com/analogdevicesinc/hdl/releases
3、windows(linux查看官网)
安装Cygwin。因为HDL文件全是源文件,需要通过make工具生成vivado的工程文件和hdf文件。在Windows下安装cygwin。其它博客有很多相关安装教程。安装中选择git、make,不安装其他。

打开Cygwin窗口,输入路径。
export PATH=$PATH:/cygdrive/e/xilinx/Vivado/2016.4/bin
export PATH=$PATH:/cygdrive

猜你喜欢

转载自blog.csdn.net/tusiji5286/article/details/107050035
今日推荐