Modelsim SE版本的安装及使用方法


前言

Modelsim有几种常见的版本:SE(System Edition)、PE(Personal Edition)和OEM(Orignal Equipment Manufactuce,即原始设备制造商)。本文章是对Modelsim SE版本的安装及使用方法的介绍说明。

一、Modelsim的下载

百度网盘下载链接:
https://pan.baidu.com/s/1a9d-bq9RZmWrRV542X4IEA
提取码:ifte
说明:
本链接来自于正点原子官方资料下载

二、Modelsim的安装

1.解压压缩包
在这里插入图片描述
2.运行可执行程序
3.安装导向过程
①进入welcom界面
在这里插入图片描述
②安装位置的选择
③点击Agree
在这里插入图片描述
④开始安装
出现两次信息提示框,第一次提示是否在桌面建立快捷方式,点击“Yes”,第二次提示是否将Modelsim可执行文件放入Path变量,选择“Yes”时可以从DOS提示符执行Modelsim,这里我们选择“Yes”
在这里插入图片描述
⑤自动安装完成,选择No
在这里插入图片描述
⑥选择Done
在这里插入图片描述

三、Modelsim的注册

具体过程请参考下面链接:
【FPGA——工具篇】:Modelsim SE-64 10.4下载、破解、安装过程

四、Modelsim的使用

步骤

  • 新建工程
  • 编写Verilog文件和Testbench仿真文件
  • 编译工程
  • 启动仿真器并加载设计顶层
  • 执行仿真

(一)手动仿真

直接使用ModelSim软件进行仿真
1.建立Modelsim工程并添加仿真文件
在使用Quartus创建LED流水灯工程的“sim”文件夹下新建文件夹“tb”,然后启动Modelsim软件,在Modelsim中选择File->Change Directory,在弹出的对话框中选择目录路径为刚才新建的tb文件夹。在Modelsim中建立project,选择File->New->Project,在弹出对话框中,输入相应的信息,就选择OK。
在这里插入图片描述
界面出现Create New File(创建新文件)、Add Existing File(添加已有文件)、Create Simulation(创建仿真)和 Create New Folder(创建新文件夹)。这里首先选择“Add Existing File”(添加已有文件),找到“flow_led.v”文件
在这里插入图片描述
接着选择“Create New File”(创建新文件),输入相应的信息
在这里插入图片描述
最后,关闭Add items to the Project
双击“flow_led_tb.v”文件,添加下面代码并保存

`timescale 1ns/1ns // 定义仿真时间单位1ns和仿真时间精度为1ns
 
module flow_led_tb(); // 测试模块

//parameter define
parameter T = 20; // 时钟周期为20ns

//reg define
reg sys_clk; // 时钟信号
reg sys_rst_n; // 复位信号

//wire define
wire [3:0] led;

//*****************************************************
//** main code
//*****************************************************

//给输入信号初始值
initial begin
	sys_clk = 1'b0;
	sys_rst_n = 1'b0; // 复位
	#(T+1) sys_rst_n = 1'b1; // 在第21ns的时候复位信号信号拉高
end

//50Mhz的时钟,周期则为1/50Mhz=20ns,所以每10ns,电平取反一次
always #(T/2) sys_clk = ~sys_clk;

//例化flow_led模块
flow_led u0_flow_led (
	.sys_clk (sys_clk ),
	.sys_rst_n (sys_rst_n),
	.led (led )
   );

endmodule

说明:
为了便于我们仿真,这里我们需要稍微改动一下“flow_led.v”文件的代码,将计时器counter的最大计时值设为10
else if (counter < 24’d10)
counter <= counter + 1’b1;

2.编译仿真文件
在菜单栏【Compile】中找到这两个命令,也可以在快捷工具栏或者在工作区中的右键弹出的菜单中找到这两个命令
在这里插入图片描述
3.配置仿真环境
在ModelSim菜单栏中找到【Simulate】→【Start Simulation…】菜单并点击,在出现的标签中,将Design标签页面中选择work库中的flow_led_tb模块,在Optimization一栏中取消勾选(注意一定要取消优化的勾选,否则无法观察信号波形),然后点击【OK】就可以开始进行功能仿真了,其他标签保持默认
在这里插入图片描述
右键单击“u0_flow_led”,选择“Add Wave”选项
在这里插入图片描述
选择仿真时间为1ms,单击右边的运行按钮
在这里插入图片描述
仿真效果
在这里插入图片描述

(二)自动仿真(混合仿真)

通过其它的EDA工具如Quartus II调用Modelsim进行仿真
1.选择EDA仿真工具
打开Quartus II工程,在菜单栏中找到【Tool】→【Options】按钮,打开的页面左侧我们找到“EDA Tool Options”点击,添加Modelsim路径,点击【OK】
在这里插入图片描述【Assignments】→【Settings】按钮,在打开的页面左侧我们找到“EDA Tool Settings”点击,将“Simulation”设置成“ModelSim、Verilog HDL”,点击【OK】
在这里插入图片描述
2.编写TestBench
选择【Processing】→【Start】→【Start TestBench Template Writer】按钮,会生成一个flow_led.vt文件,对其内容进行一些修改,保存并改名为flow_led_tb.vt
在这里插入图片描述
2.配置仿真环境
【Assigement】→【Settings】按钮并打开,在打开的页面中,我们找到左侧的Simulation点击,选中“Compile Test bench”,然后单击后面的【Test Benches】按钮,接着单击【New】按钮,则会出现如下图所示的“New Test Bench Settings”窗口,输入相应的信息,单击【Add】按钮添加到最下面的列表
在这里插入图片描述
在这里插入图片描述
3.运行RTL仿真(功能仿真)
选择【Tools】→【Run Simulation Tool】→【RTL Simulation】按钮,自此仿真就会自动完成。

参考资料

正点原子相关资料链接
http://www.openedv.com/docs/boards/fpga/zdyz_dafenqi.html

猜你喜欢

转载自blog.csdn.net/qq_43279579/article/details/115176423