vivado源文件和仿真文件的建立

目的:做一个3输入,1输出模块;其中只要有2个输入为1则输出为1;

1、打开vivado创建一个工程

2、选择保存路径和名称

3、选择创建rtl文件且勾选下面的选项

4、选择芯片如xc7a35tift

5、进入主界面打开设置勾选-bin文件

6、创建源文件并命名为test

7、finish后弹出创建引脚框自己添加引脚

8、找到文件.v打开

9、开始编程

10、综合效果

11、仿真文件创建

12、命名且不用添加引脚

13、找到仿真文件

14、编程保存

15、综合仿真选

16、选择合适的大小

17、添加管脚文件add中第一个

18、之后综合,布线

猜你喜欢

转载自blog.csdn.net/qq_27841635/article/details/81407722
今日推荐