【Vivado——仿真】读写文件攻略

版权声明:本文为博主原创文章,未经博主允许不得转载。 https://blog.csdn.net/Lily_9/article/details/84143048

1.Vivado仿真读文件

在VIvado自带仿真器中,读文件不需要预先打开要读取的文件,也不需要将文件加入Vivado工程内部,只需要利用系统函数readmemh、readmemb就可以了(readmemh表示读取16进制数、readmemb表示读取二进制数),文件路径必须是绝对路径。函数使用方式例子如下:
 

其中,"F:/.../..."表示文件路径(注意是“/”,方向不能反)
“layer0.txt”表示文件; 
“layer0”为预先定义的寄存器组; 
“0”读取文件内容的初始地址; 
“1023”读取文件内容的结束地址。 
读取文件格式最好是纯数据,且每一行最好只有一个数。对应不同的系统函数,数字需要对应的进制。
 

2.Vivado仿真写文件

对于写文件,VIVADO自带的仿真器,不能添加绝对路径,只需给出文件名,最后会在工程的"F:\vivado_example\limingli\myNet_v3\myNet\myNet.sim\sim_1\synth\timing\xsim"中找到输出文本。举个例子:

3.Vivado Modelsim联合仿真

(1)Vivado调用Modelsim仿真时,如果数据采用文本形式输出,必须采用绝对路径;

(2)读写文件之前,都需要预先打开文件。

猜你喜欢

转载自blog.csdn.net/Lily_9/article/details/84143048