Quartus II_操作篇

  Quartus ii是针对Altera FPGA的一款EDA软件,在此简单说一下基本操作:

  1.一个FPGA工程的建立

  ①打开Quartus II软件,点击File >>> New Project Wizard

  ②点击next,进入下面界面。第一行是创建工程所在的文件夹,建议先生成文件夹,在复制路径到此处。第二行是工程名字,第三行是Top层名字,填第二行内容时,第三行会自动保持一致。

  ③点击next,如果有写好的.v文件,则在此处全部加进去,然后点击Add All即可。如果没有写好的.v文件,则直接next。

  ④选择FPGA型号,一般先选哪个系列,再在下面具体选择型号。

  ⑤选择EDA tool,一般把默认的VHDL改成Verilog即可。

  ⑥建好后,开始建立 .v文件,点击新建,选择Verilog即可。写完代码后记得进行保存和命名哦。

  

  2.Quartus II界面介绍

  以上只是最基础的介绍,里面是很多名堂的。以后遇到什么再去查找学习吧

猜你喜欢

转载自www.cnblogs.com/xianyufpga/p/10869092.html