搭建UVM验证环境之hello world

搭建UVM验证环境历程(一)–hello world
1.确认linux虚拟机上已经安装了vcs,然后在home目录下创建验证环境的工作目录;
2.在工作目录下,编写一个简单的Makefile文件如下:
在这里插入图片描述
在这里插入图片描述
其中:vcs代表使用的仿真器的名字;
full64代表使能支持64bit仿真器;
sverilog代表使能解析system verilog代码开关;
-ntb_opts uvm-1.1选择uvm的版本号;
-o simv代表输出的可执行文件的名字;
3.执行make run命令,效果如下图:
在这里插入图片描述

发布了22 篇原创文章 · 获赞 3 · 访问量 771

猜你喜欢

转载自blog.csdn.net/weixin_39662684/article/details/103383738
今日推荐