基于FPGA的电梯控制器设计(任意楼层)

本设计是基于FPGA的电梯控制器设计,可以实现控制器的功能如下图所示,可以自由设置楼层数,通过设计三段式有限状态机实现控制器的逻辑功能。
编程语言verilog/VHDL
系统功能架构框图:
在这里插入图片描述

状态机流程图:
在这里插入图片描述

代码的顶层模块:

在这里插入图片描述

猜你喜欢

转载自blog.csdn.net/QQ_778132974/article/details/105910688
今日推荐