VHDL数控分频器及其应用

主要内容:采用VHDL语言设计数控分频器电路,利用数控分频的原理,设计乐曲演奏电路,并采用原理图方法设计数字时钟,使该电路具有校时校分的功能,用MAX一PLUSII编程工具进行逻辑综合和时序仿真。

设计的基本内容
传统数字电路设计是利用标准集成电路、电路板来实现电路功能。可编程逻辑器件和EDA技术使设计方法发生了质的变化。把以前 “电路设计+硬件搭试+调试焊接”转化为 “功能设计+软件模拟+仿真下载”。利用EDA开发平台,采用可编程逻辑器件CPLD/FPGA使硬件的功能可通过编程来实现,这种新的基于芯片的设计方法能够使设计者有更多机会充分发挥创造性思维,实现多种复杂数字逻辑系统的功能,将原来由电路板设计完成的工作放到芯片的设计中进行,减少了连线和体积,提高了集成度,降低了干扰,大大减轻了电路设计和PCB设计的工作量和难度,增强了设计的灵活性,有效地提高了工作效率,MUSICFLOW增加了系统的可靠性和稳定性,提高了技术指标。
本文设计利用VHDL语言设计数控分频器电路,利用数控分频的原理,设计了乐曲演奏电路。采用原理图方法设计数字时钟电路,该电路具有校时、校分功能,由60进制的秒分模块、24进制的小时模块、动态扫描显示模块组成,把分频电路应用于数字名整点报时的乐曲演奏中,演奏时间为15s.

课设报告和代码截图如下:
在这里插入图片描述

猜你喜欢

转载自blog.csdn.net/QQ_778132974/article/details/117389789