chisel第一个实验

根据https://blog.csdn.net/leishangwen/article/details/46225587中所说,做第一个实验步骤如下: 1:下载sbt-1.1.4.tgz解压到/media/sdb4/download/scala/sbt目录,只有三个子目录bin,conf,lib

2:在~/.bashrc文件中的最后增加 exportPATH=/home/riscv/riscv/sbt/bin/:$PATH

3:建立chisel工程

新建一个文件夹chisel_max,在其中新建文件max2.scala、build.sbt,其中build.sbt的内容如下(注意两行之间空一行,我实现时没有空一行,结果执行sbt命令时出现下面的提示中没有出现compiling 1 scala source .......等信息提示):

resolvers ++= Seq("scct-github-repository" at "http://mtkopone.github.com/scct/maven-repo" )
libraryDependencies += "edu.berkeley.cs" %% "chisel" % "latest.release"

max2.scala的内容如下,这是一个比较器,从两个8位的输入中,选择一个较大的数,作为输出,内容如下:

import Chisel._
class Max2 extends Module {   
      val io = new Bundle {   
        val in0 = UInt(INPUT,  8)   
        val in1 = UInt(INPUT,  8)   
        val out = UInt(OUTPUT, 8)   
      }   
      io.out := Mux(io.in0 > io.in1, io.in0, io.in1)        
    }  
    object Hellp {   
      def main(args: Array[String]) : Unit={   
          val margs=Array("--backend","v","--compile")   
          chiselMain(margs, () => Module(new Max2()))   
      }   
}  

4:编译

joe@joe-Aspire-Z3730:/media/sdb4/download/scala/chiseltest/chisel_max$ sbt
Getting org.scala-sbt sbt 1.1.4  (this may take some time)...
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/sbt/1.1.4/jars/sbt.jar ...
	[SUCCESSFUL ] org.scala-sbt#sbt;1.1.4!sbt.jar (3ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-lang/scala-library/2.12.4/jars/scala-library.jar ...
	[SUCCESSFUL ] org.scala-lang#scala-library;2.12.4!scala-library.jar (41ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/main_2.12/1.1.4/jars/main_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#main_2.12;1.1.4!main_2.12.jar (14ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/logic_2.12/1.1.4/jars/logic_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#logic_2.12;1.1.4!logic_2.12.jar (4ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/actions_2.12/1.1.4/jars/actions_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#actions_2.12;1.1.4!actions_2.12.jar (4ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/main-settings_2.12/1.1.4/jars/main-settings_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#main-settings_2.12;1.1.4!main-settings_2.12.jar (7ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/run_2.12/1.1.4/jars/run_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#run_2.12;1.1.4!run_2.12.jar (3ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/command_2.12/1.1.4/jars/command_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#command_2.12;1.1.4!command_2.12.jar (4ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/collections_2.12/1.1.4/jars/collections_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#collections_2.12;1.1.4!collections_2.12.jar (4ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-lang.modules/scala-xml_2.12/1.0.6/bundles/scala-xml_2.12.jar ...
	[SUCCESSFUL ] org.scala-lang.modules#scala-xml_2.12;1.0.6!scala-xml_2.12.jar(bundle) (6ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/launcher-interface/1.0.4/jars/launcher-interface.jar ...
	[SUCCESSFUL ] org.scala-sbt#launcher-interface;1.0.4!launcher-interface.jar (2ms)
downloading file:////home/joe/.sbt/preloaded/org.apache.logging.log4j/log4j-api/2.8.1/jars/log4j-api.jar ...
	[SUCCESSFUL ] org.apache.logging.log4j#log4j-api;2.8.1!log4j-api.jar (4ms)
downloading file:////home/joe/.sbt/preloaded/org.apache.logging.log4j/log4j-core/2.8.1/jars/log4j-core.jar ...
	[SUCCESSFUL ] org.apache.logging.log4j#log4j-core;2.8.1!log4j-core.jar (12ms)
downloading file:////home/joe/.sbt/preloaded/org.apache.logging.log4j/log4j-slf4j-impl/2.8.1/jars/log4j-slf4j-impl.jar ...
	[SUCCESSFUL ] org.apache.logging.log4j#log4j-slf4j-impl;2.8.1!log4j-slf4j-impl.jar (2ms)
downloading file:////home/joe/.sbt/preloaded/com.github.cb372/scalacache-caffeine_2.12/0.20.0/jars/scalacache-caffeine_2.12.jar ...
	[SUCCESSFUL ] com.github.cb372#scalacache-caffeine_2.12;0.20.0!scalacache-caffeine_2.12.jar (1ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/io_2.12/1.1.6/jars/io_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#io_2.12;1.1.6!io_2.12.jar (4ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/util-logging_2.12/1.1.3/jars/util-logging_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#util-logging_2.12;1.1.3!util-logging_2.12.jar (4ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/librarymanagement-core_2.12/1.1.4/jars/librarymanagement-core_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#librarymanagement-core_2.12;1.1.4!librarymanagement-core_2.12.jar (8ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/librarymanagement-ivy_2.12/1.1.4/jars/librarymanagement-ivy_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#librarymanagement-ivy_2.12;1.1.4!librarymanagement-ivy_2.12.jar (7ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/compiler-interface/1.1.5/jars/compiler-interface.jar ...
	[SUCCESSFUL ] org.scala-sbt#compiler-interface;1.1.5!compiler-interface.jar (3ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/zinc-compile_2.12/1.1.5/jars/zinc-compile_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#zinc-compile_2.12;1.1.5!zinc-compile_2.12.jar (2ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/util-relation_2.12/1.1.3/jars/util-relation_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#util-relation_2.12;1.1.3!util-relation_2.12.jar (2ms)
downloading file:////home/joe/.sbt/preloaded/com.eed3si9n/sjson-new-scalajson_2.12/0.8.2/jars/sjson-new-scalajson_2.12.jar ...
	[SUCCESSFUL ] com.eed3si9n#sjson-new-scalajson_2.12;0.8.2!sjson-new-scalajson_2.12.jar (5ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/util-position_2.12/1.1.3/jars/util-position_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#util-position_2.12;1.1.3!util-position_2.12.jar (3ms)
downloading file:////home/joe/.sbt/preloaded/com.eed3si9n/sjson-new-core_2.12/0.8.2/jars/sjson-new-core_2.12.jar ...
	[SUCCESSFUL ] com.eed3si9n#sjson-new-core_2.12;0.8.2!sjson-new-core_2.12.jar (8ms)
downloading file:////home/joe/.sbt/preloaded/com.eed3si9n/shaded-scalajson_2.12/1.0.0-M4/jars/shaded-scalajson_2.12.jar ...
	[SUCCESSFUL ] com.eed3si9n#shaded-scalajson_2.12;1.0.0-M4!shaded-scalajson_2.12.jar (2ms)
downloading file:////home/joe/.sbt/preloaded/org.spire-math/jawn-parser_2.12/0.10.4/jars/jawn-parser_2.12.jar ...
	[SUCCESSFUL ] org.spire-math#jawn-parser_2.12;0.10.4!jawn-parser_2.12.jar (2ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/completion_2.12/1.1.4/jars/completion_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#completion_2.12;1.1.4!completion_2.12.jar (3ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/task-system_2.12/1.1.4/jars/task-system_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#task-system_2.12;1.1.4!task-system_2.12.jar (2ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/tasks_2.12/1.1.4/jars/tasks_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#tasks_2.12;1.1.4!tasks_2.12.jar (2ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/testing_2.12/1.1.4/jars/testing_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#testing_2.12;1.1.4!testing_2.12.jar (4ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/util-tracking_2.12/1.1.3/jars/util-tracking_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#util-tracking_2.12;1.1.3!util-tracking_2.12.jar (3ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/zinc-classpath_2.12/1.1.5/jars/zinc-classpath_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#zinc-classpath_2.12;1.1.5!zinc-classpath_2.12.jar (3ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/zinc-apiinfo_2.12/1.1.5/jars/zinc-apiinfo_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#zinc-apiinfo_2.12;1.1.5!zinc-apiinfo_2.12.jar (3ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/zinc-ivy-integration_2.12/1.1.5/jars/zinc-ivy-integration_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#zinc-ivy-integration_2.12;1.1.5!zinc-ivy-integration_2.12.jar (3ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/zinc_2.12/1.1.5/jars/zinc_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#zinc_2.12;1.1.5!zinc_2.12.jar (2ms)
downloading file:////home/joe/.sbt/preloaded/jline/jline/2.14.4/jars/jline.jar ...
	[SUCCESSFUL ] jline#jline;2.14.4!jline.jar (3ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/util-control_2.12/1.1.3/jars/util-control_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#util-control_2.12;1.1.3!util-control_2.12.jar (1ms)
downloading file:////home/joe/.sbt/preloaded/com.swoval/apple-file-events/1.3.0/jars/apple-file-events.jar ...
	[SUCCESSFUL ] com.swoval#apple-file-events;1.3.0!apple-file-events.jar (2ms)
downloading file:////home/joe/.sbt/preloaded/net.java.dev.jna/jna/4.5.0/jars/jna.jar ...
	[SUCCESSFUL ] net.java.dev.jna#jna;4.5.0!jna.jar (13ms)
downloading file:////home/joe/.sbt/preloaded/net.java.dev.jna/jna-platform/4.5.0/jars/jna-platform.jar ...
	[SUCCESSFUL ] net.java.dev.jna#jna-platform;4.5.0!jna-platform.jar (18ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/util-interface/1.1.3/jars/util-interface.jar ...
	[SUCCESSFUL ] org.scala-sbt#util-interface;1.1.3!util-interface.jar (2ms)
downloading file:////home/joe/.sbt/preloaded/com.lmax/disruptor/3.3.6/jars/disruptor.jar ...
	[SUCCESSFUL ] com.lmax#disruptor;3.3.6!disruptor.jar (5ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-lang/scala-reflect/2.12.4/jars/scala-reflect.jar ...
	[SUCCESSFUL ] org.scala-lang#scala-reflect;2.12.4!scala-reflect.jar (26ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-lang/scala-compiler/2.12.4/jars/scala-compiler.jar ...
	[SUCCESSFUL ] org.scala-lang#scala-compiler;2.12.4!scala-compiler.jar (69ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/util-cache_2.12/1.1.3/jars/util-cache_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#util-cache_2.12;1.1.3!util-cache_2.12.jar (3ms)
downloading file:////home/joe/.sbt/preloaded/com.eed3si9n/sjson-new-murmurhash_2.12/0.8.2/jars/sjson-new-murmurhash_2.12.jar ...
	[SUCCESSFUL ] com.eed3si9n#sjson-new-murmurhash_2.12;0.8.2!sjson-new-murmurhash_2.12.jar (2ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/test-agent/1.1.4/jars/test-agent.jar ...
	[SUCCESSFUL ] org.scala-sbt#test-agent;1.1.4!test-agent.jar (2ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/test-interface/1.0/jars/test-interface.jar ...
	[SUCCESSFUL ] org.scala-sbt#test-interface;1.0!test-interface.jar (1ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/zinc-classfile_2.12/1.1.5/jars/zinc-classfile_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#zinc-classfile_2.12;1.1.5!zinc-classfile_2.12.jar (3ms)
downloading file:////home/joe/.sbt/preloaded/com.jcraft/jsch/0.1.54/jars/jsch.jar ...
	[SUCCESSFUL ] com.jcraft#jsch;0.1.54!jsch.jar (3ms)
downloading file:////home/joe/.sbt/preloaded/com.eed3si9n/gigahorse-okhttp_2.12/0.3.0/jars/gigahorse-okhttp_2.12.jar ...
	[SUCCESSFUL ] com.eed3si9n#gigahorse-okhttp_2.12;0.3.0!gigahorse-okhttp_2.12.jar (2ms)
downloading file:////home/joe/.sbt/preloaded/com.squareup.okhttp3/okhttp-urlconnection/3.7.0/jars/okhttp-urlconnection.jar ...
	[SUCCESSFUL ] com.squareup.okhttp3#okhttp-urlconnection;3.7.0!okhttp-urlconnection.jar (2ms)
downloading file:////home/joe/.sbt/preloaded/com.eed3si9n/gigahorse-core_2.12/0.3.0/jars/gigahorse-core_2.12.jar ...
	[SUCCESSFUL ] com.eed3si9n#gigahorse-core_2.12;0.3.0!gigahorse-core_2.12.jar (2ms)
downloading file:////home/joe/.sbt/preloaded/com.squareup.okhttp3/okhttp/3.7.0/jars/okhttp.jar ...
	[SUCCESSFUL ] com.squareup.okhttp3#okhttp;3.7.0!okhttp.jar (4ms)
downloading file:////home/joe/.sbt/preloaded/com.typesafe/ssl-config-core_2.12/0.2.2/bundles/ssl-config-core_2.12.jar ...
	[SUCCESSFUL ] com.typesafe#ssl-config-core_2.12;0.2.2!ssl-config-core_2.12.jar(bundle) (4ms)
downloading file:////home/joe/.sbt/preloaded/org.reactivestreams/reactive-streams/1.0.0/jars/reactive-streams.jar ...
	[SUCCESSFUL ] org.reactivestreams#reactive-streams;1.0.0!reactive-streams.jar (2ms)
downloading file:////home/joe/.sbt/preloaded/org.slf4j/slf4j-api/1.7.25/jars/slf4j-api.jar ...
	[SUCCESSFUL ] org.slf4j#slf4j-api;1.7.25!slf4j-api.jar (2ms)
downloading file:////home/joe/.sbt/preloaded/com.typesafe/config/1.2.0/bundles/config.jar ...
	[SUCCESSFUL ] com.typesafe#config;1.2.0!config.jar(bundle) (3ms)
downloading file:////home/joe/.sbt/preloaded/com.squareup.okio/okio/1.12.0/jars/okio.jar ...
	[SUCCESSFUL ] com.squareup.okio#okio;1.12.0!okio.jar (2ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/zinc-compile-core_2.12/1.1.5/jars/zinc-compile-core_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#zinc-compile-core_2.12;1.1.5!zinc-compile-core_2.12.jar (4ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-lang.modules/scala-parser-combinators_2.12/1.0.5/bundles/scala-parser-combinators_2.12.jar ...
	[SUCCESSFUL ] org.scala-lang.modules#scala-parser-combinators_2.12;1.0.5!scala-parser-combinators_2.12.jar(bundle) (3ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/zinc-core_2.12/1.1.5/jars/zinc-core_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#zinc-core_2.12;1.1.5!zinc-core_2.12.jar (4ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/zinc-persist_2.12/1.1.5/jars/zinc-persist_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#zinc-persist_2.12;1.1.5!zinc-persist_2.12.jar (14ms)
downloading file:////home/joe/.sbt/preloaded/com.trueaccord.scalapb/scalapb-runtime_2.12/0.6.0/jars/scalapb-runtime_2.12.jar ...
	[SUCCESSFUL ] com.trueaccord.scalapb#scalapb-runtime_2.12;0.6.0!scalapb-runtime_2.12.jar (14ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/sbinary_2.12/0.4.4/jars/sbinary_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#sbinary_2.12;0.4.4!sbinary_2.12.jar (3ms)
downloading file:////home/joe/.sbt/preloaded/com.trueaccord.lenses/lenses_2.12/0.4.12/jars/lenses_2.12.jar ...
	[SUCCESSFUL ] com.trueaccord.lenses#lenses_2.12;0.4.12!lenses_2.12.jar (2ms)
downloading file:////home/joe/.sbt/preloaded/com.lihaoyi/fastparse_2.12/0.4.2/jars/fastparse_2.12.jar ...
	[SUCCESSFUL ] com.lihaoyi#fastparse_2.12;0.4.2!fastparse_2.12.jar (5ms)
downloading file:////home/joe/.sbt/preloaded/com.google.protobuf/protobuf-java/3.3.1/bundles/protobuf-java.jar ...
	[SUCCESSFUL ] com.google.protobuf#protobuf-java;3.3.1!protobuf-java.jar(bundle) (11ms)
downloading file:////home/joe/.sbt/preloaded/com.lihaoyi/fastparse-utils_2.12/0.4.2/jars/fastparse-utils_2.12.jar ...
	[SUCCESSFUL ] com.lihaoyi#fastparse-utils_2.12;0.4.2!fastparse-utils_2.12.jar (2ms)
downloading file:////home/joe/.sbt/preloaded/com.lihaoyi/sourcecode_2.12/0.1.3/jars/sourcecode_2.12.jar ...
	[SUCCESSFUL ] com.lihaoyi#sourcecode_2.12;0.1.3!sourcecode_2.12.jar (2ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/core-macros_2.12/1.1.4/jars/core-macros_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#core-macros_2.12;1.1.4!core-macros_2.12.jar (2ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/protocol_2.12/1.1.4/jars/protocol_2.12.jar ...
	[SUCCESSFUL ] org.scala-sbt#protocol_2.12;1.1.4!protocol_2.12.jar (4ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt/template-resolver/0.1/jars/template-resolver.jar ...
	[SUCCESSFUL ] org.scala-sbt#template-resolver;0.1!template-resolver.jar (1ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt.ipcsocket/ipcsocket/1.0.0/jars/ipcsocket.jar ...
	[SUCCESSFUL ] org.scala-sbt.ipcsocket#ipcsocket;1.0.0!ipcsocket.jar (1ms)
downloading file:////home/joe/.sbt/preloaded/com.github.cb372/scalacache-core_2.12/0.20.0/jars/scalacache-core_2.12.jar ...
	[SUCCESSFUL ] com.github.cb372#scalacache-core_2.12;0.20.0!scalacache-core_2.12.jar (3ms)
downloading file:////home/joe/.sbt/preloaded/com.github.ben-manes.caffeine/caffeine/2.5.6/jars/caffeine.jar ...
	[SUCCESSFUL ] com.github.ben-manes.caffeine#caffeine;2.5.6!caffeine.jar (8ms)
downloading file:////home/joe/.sbt/preloaded/org.scala-sbt.ivy/ivy/2.3.0-sbt-b18f59ea3bc914a297bb6f1a4f7fb0ace399e310/jars/ivy.jar ...
	[SUCCESSFUL ] org.scala-sbt.ivy#ivy;2.3.0-sbt-b18f59ea3bc914a297bb6f1a4f7fb0ace399e310!ivy.jar (9ms)
:: retrieving :: org.scala-sbt#boot-app
	confs: [default]
	76 artifacts copied, 0 already retrieved (27594kB/51ms)
Getting Scala 2.12.4 (for sbt)...
downloading file:////home/joe/.sbt/preloaded/jline/jline/2.14.5/jars/jline.jar ...
	[SUCCESSFUL ] jline#jline;2.14.5!jline.jar (4ms)
:: retrieving :: org.scala-sbt#boot-scala
	confs: [default]
	5 artifacts copied, 0 already retrieved (18986kB/29ms)
[info] Updated file /media/46bb100d-2505-4025-8425-34ecf3129209/download/scala/chiseltest/chisel_max/project/build.properties: set sbt.version to 1.1.4
[info] Loading project definition from /media/46bb100d-2505-4025-8425-34ecf3129209/download/scala/chiseltest/chisel_max/project
[info] Updating ProjectRef(uri("file:/media/46bb100d-2505-4025-8425-34ecf3129209/download/scala/chiseltest/chisel_max/project/"), "chisel_max-build")...
[info] Done updating.
[info] Loading settings from build.sbt ...
[info] Set current project to chisel_max (in build file:/media/46bb100d-2505-4025-8425-34ecf3129209/download/scala/chiseltest/chisel_max/)
[info] sbt server started at local:///home/joe/.sbt/1.0/server/6955b5c3b666021056e1/sock
sbt:chisel_max> 

上面最后一行是命令提示,输入exit之后退出sbt,然后将build.sbt中空一行出来,再编译

猜你喜欢

转载自my.oschina.net/u/2963604/blog/1802101