Vivado 和第三方仿真器的对应版本

第一次安装modelsim的时候出现问题,调了很久还是没搞清楚,怀疑和版本有关。

找到了Xilinx官方给出的版本支持。

Vivado Simulation - Supported Third party simulators for major Vivado Design Suite release

https://www.xilinx.com/support/answers/68324.html

Vivado Design Suite 2017.2

  • Mentor Graphics ModelSim SE/DE/PE (10.5c)
  • Mentor Graphics Questa Advanced Simulator (10.5c)
  • Cadence Incisive Enterprise Simulator (IES) (15.20.014)
  • Synopsys VCS and VCS MX (L-2016.06-SP1)
  • Aldec Active-HDL (10.4) Aldec Riviera-PRO (2016.10)

猜你喜欢

转载自blog.csdn.net/weixin_38649259/article/details/86498598
今日推荐