数字信号处理的FPGA实现——混频器(Mixer)

  1. 设计思路

    在FPGA中实现一个简单的混频器电路,将两个625Khz的正弦波信号相乘输出。其中625Khz的本振信号由FPGA内部的NCO产生,625Khz的正弦波信号通过读取外部的激励文件(txt)产生。其中外部激励文件由matlab仿真程序产生。

  2. 实验效果

  3. matlab仿真

 

 

猜你喜欢

转载自www.cnblogs.com/maobitcoder/p/8970863.html